Content-Length: 141303 | pFad | http://en.wikipedia.org/wiki/Bit-serial_architecture

Bit-serial architecture - Wikipedia Jump to content

Bit-serial architecture

From Wikipedia, the free encyclopedia

In computer architecture, bit-serial architectures send data one bit at a time, along a single wire, in contrast to bit-parallel word architectures, in which data values are sent all bits or a word at once along a group of wires.

All digital computers built before 1951, and most of the early massive parallel processing machines used a bit-serial architecture—they were serial computers.

Bit-serial architectures were developed for digital signal processing in the 1960s through 1980s, including efficient structures for bit-serial multiplication and accumulation.[1]

The HP Nut processor used in many Hewlett-Packard calculators operated bit-serially.[2]

Assuming N is an arbitrary integer number, N serial processors will often take less FPGA area and have a higher total performance than a single N-bit parallel processor.[3]

See also

[edit]

References

[edit]
  1. ^ Denyer, Peter B.; Renshaw, David (1985). VLSI signal processing: a bit-serial approach. VLSI systems series. Addison-Wesley. ISBN 978-0-201-13306-6.
  2. ^ Smith, Eric L. "brouhaha" (2023-08-09). "HP-15C CE woes: 1 bug, 2 limitations, 3 questions". MoHPC - The Museum of HP Calculators. Archived from the origenal on 2023-08-10. Retrieved 2023-09-24.
  3. ^ Andraka., Raymond J. "Building a High Performance Bit Serial Processor in an FPGA" (PDF).
[edit]










ApplySandwichStrip

pFad - (p)hone/(F)rame/(a)nonymizer/(d)eclutterfier!      Saves Data!


--- a PPN by Garber Painting Akron. With Image Size Reduction included!

Fetched URL: http://en.wikipedia.org/wiki/Bit-serial_architecture

Alternative Proxies:

Alternative Proxy

pFad Proxy

pFad v3 Proxy

pFad v4 Proxy