EC3552 VLSI and Chip Design Lecture Notes 1
EC3552 VLSI and Chip Design Lecture Notes 1
com
UNIT-I EC3552-VLSI AND CHIP DESIGN
MOS logic families (NMOS and CMOS), Ideal and Non Ideal IV Characteristics, CMOS devices,
MOS(FET) Transistor Characteristic under Static and Dynamic Conditions, Technology Scaling, power
consumption
In 1958, Jack Kilby built the first integrated circuit flip-flop at Texas Instruments.
Bell Labs developed the bipolar junction transistor. Bipolar transistors were more reliable, less
noisy and more power-efficient.
In 1960s, Metal Oxide Semiconductor Field Effect Transistors (MOSFETs) began to enter in
the production.
MOSFETs offer the compelling advantage that; they draw almost zero control current while
idle.
They come in two flavors: nMOS and pMOS, using n-type and p-type silicon respectively.
In 1963, Frank Wanlass at Fairchild described the first logic gates using MOSFETs.
Fairchild’s gates used both nMOS and pMOS transistors, naming as Complementary Metal
Oxide Semiconductor (CMOS).
Power consumption became a major issue in the 1980s as hundreds of thousands of transistors
were integrated onto a single die.
CMOS processes were widely adopted and replaced nMOS and bipolar processes for all
digital logic applications. www.EnggTree.com
In 1965, Gordon Moore observed that plotting the number of transistors that can be most
economically manufactured on a chip gives a straight line on a semi logarithmic scale.
Explain the basic concept of nMOS and pMOS transistor with relevant symbol.
A Metal-Oxide-Semiconductor www.EnggTree.com
(MOS) structure is created by superimposing layers of
conducting and insulating materials.
CMOS technology provides two types of transistors. They are n-type transistor (nMOS) and p-
type transistor (pMOS).
As transistor operation is controlled by electric fields, the devices are also called Metal Oxide
Semiconductor Field Effect Transistors (MOSFETs).
The transistor consists of a stack of the conducting gate, an insulating layer of silicon dioxide
(SiO2) and the silicon wafer, also called as substrate, body or bulk.
A pMOS transistor consists of p-type source and drain region with an n-type body.
An nMOS transistor consists of n-type source and drain region with a p-type body.
nMOS Transistor:
In an nMOS transistor, the body is grounded and the p–n junction of the source and drain to
body are reverse-biased.
As the gate is grounded, no current flows through junction. Hence, the transistor is OFF.
If the gate voltage is raised, it creates an electric field, that start to attract free electrons to
the underside of the Si–SiO2 interface.
If the voltage is raised more, a thin region under the gate called the channel is inverted.
Since a conducting path of electron carriers is formed from source to drain, current starts to
flow. So, the transistor is said to be ON.
pMOS Transistor:
For a pMOS transistor, the body is held at a positive voltage.
When the gate terminal has a positive voltage, the source and drain junctions are reverse-
biased and no current flows. So, the transistor is said to OFF.
When the gate voltage is lowered, positive charges are attracted to the underside of the Si–
SiO2 interface.
When a sufficient low gate voltage is applied, the channel inverts and a conducting path of
positive carriers is formed from source to drain, which makes the transistor ON.
NOTE:
The symbol for the pMOS transistor has a bubble on the gate, indicating that the transistor
behavior is opposite to nMOS.
When the gate of an nMOS transistor is 1, the transistor is ON. When the gate is 0, the nMOS
transistor is OFF.
A pMOS transistor is ON when the www.EnggTree.com
gate is low(0) and OFF when the gate is high(1).
Explain the accumulation (Enhancement) mode, depletion layer and inversion layer of
MOS transistor with diagram.
The MOS transistor is a majority-carrier device, in which the current in a conducting channel
is controlled by gate voltage.
In an nMOS transistor, the majority carriers are electrons.
In a pMOS transistor, the majority carriers are holes.
Figure 2 shows a simple MOS structure. The top layer of the structure is a good conductor
called the gate.
Transistor gate is polysilicon, i.e., silicon formed from many small crystals. The middle layer
is a very thin insulating film of SiO2, called the gate oxide. The bottom layer is the doped
silicon body.
The figure 2 shows a p-type body, in which the carriers are holes. The body is grounded and
voltage is applied to the gate.
The gate oxide is a good insulator, so almost zero current flows from the gate to the body.
The positively charged holes are attracted to the region under the gate. This is called the
accumulation mode.
Depletion mode:
In Figure 2(b), when a small positive voltage is applied to the gate, positive charges are
formed on the gate.
The holes in the body are repelled from the region directly under the gate, resulting in a
depletion region forming below the gate.
Inversion layer:
In Figure 2(c), when a higher positive potential greater than threshold voltage (Vt) is applied,
more positive charges are attracted to the gate.
The holes are repelled and some free electrons in the body are attracted to the region under the
gate. This conductive layer of electrons in the p-type body is called the inversion layer.
The threshold voltage depends on the number of dopants in the body and the thickness tox of
the oxide.
www.EnggTree.com
Figure 2: MOS structure demonstrating (a) accumulation, (b) depletion, and (c) inversion layer
Draw the small signal model of device during cut-off, linear and saturation. (April 2018)
Discuss the cutoff, linear and saturation region operation of MOS transistor. (Nov 2009)
The MOS transistor operates in cutoff region, linear region and saturation region.
Cutoff region:
In Figure 3(a), the gate-to-source voltage (Vgs) is less than the threshold voltage (Vt) and
source is grounded.
Junctions between the body and the source or drain are reverse biased, so no current flows.
Thus, the transistor is said to be OFF and this mode of operation is called cutoff.
If Vgs < Vt , the transistor is cutoff (OFF).
Linear (Active) Region:
In Figure 3(b), the gate voltage is greater than the threshold voltage.
An inversion region of electrons, called the channel connects the source and drain, creating a
conductive path and making the transistor ON.
If Vgs > Vt , the transistor turns ON. If Vds is small, the transistor acts as a linear resistor, in
which the current flow is proportional to Vds.
The number of carriers and the conductivity increases, with the gate voltage.
www.EnggTree.com
Figure 3: nMOS transistor demonstrating cutoff, linear, and saturation regions of operation
The voltage between drain and source is Vds = Vgs - Vgd. If Vds = 0 (i.e., Vgs = Vgd), there is no
electric field to push current from drain to source.
When a small positive voltage Vds is applied to the drain (Figure 3(c)), current Ids flows
through the channel from drain to source.
This mode of operation is termed as linear, resistive, triode, nonsaturated, or unsaturated.
Saturation region:
The current increases with increase in both the drain voltage and gate voltage.
If Vds becomes sufficiently large that Vgd < Vt , the channel is no longer inverted near the drain
and becomes pinched off (Figure 3(d)).
As electrons reach the end of the channel, they are injected into the depletion region near the
drain and accelerated toward the drain.
Above this drain voltage, current Ids are controlled only by the gate voltage. This mode is
called saturation.
If Vgs > Vt and Vds is large, the transistor acts as a current source, in which the current flow
becomes independent of Vds.
The pMOS transistor in Figure 4 operates in just the opposite fashion. The n-type body is tied
to high potential, junctions of p-type source and drains are normally reverse-biased.
When the gate has high potential, no current flows between drain and source.
When the gate voltage is lowered by a threshold Vt, holes are attracted to form a p-type
channel beneath the gate, allowing current to flow between drain and source.
www.EnggTree.com
Figure 4: pMOS transistor
*********************************************************************************
1.5: IDEAL I-V CHARACTERISTICS OF MOS TRANSISTOR
Derive an expression for Ids of nMOS in linear and saturated region. (April 2019-6M)
Derive an expression to show the drain current of MOS for various operating region. Explain
one non-ideality for each operating region that changes the drain current. (NOV 2018)
Explain the dynamic behavior of MOSFET transistor with neat diagram. (April 2018)
Explain the electrical properties CMOS. (Nov 2017)
Explain in detail about the ideal I-V characteristics of a NMOS and PMOS device. (MAY
2013)
Discuss in detail with necessary equations the operation of MOSFET and its current-voltage
characteristics. (April/May 2011, May 2016).
Derive drain current of MOS device in different operating regions. (Nov/Dec 2014)(May/June
2013) (Nov 2012, Nov 2016)
Explain in detail about the ideal I-V characteristics and non-ideal I-V characteristics of a
NMOS and PMOS device. (May/June 2013)
Derive expressions for the drain-to-source current in the nonsaturated and saturated regions
of operation of an nMOS transistor. (Nov 2007, Nov 2008) [April / May – 2023]
MOS transistor has three regions of operation:
Cutoff (or) sub threshold region
Linear region (or) Non saturation region
6 Prepared by: Mr.B.Arun kuamr, AP/ECE,SANCET
Saturation region
The current through an OFF transistor is zero. When a transistor turns ON (Vgs > Vt), the gate
attract electrons to form a channel.
Current is measured from the amount of charge in the channel.
The charge on each plate of a capacitor is Q = CV. Thus, the charge in the channel Qchannel is
Qchannel = Cg (Vgc - Vt)
where Cg : Capacitance of the gate to the channel
Vgc - Vt : Amount of voltage attracting charge to the channel.
If the source is at Vs and the drain is at Vd ,
Average channel voltage is Vc = (Vs + Vd)/2 = Vs + Vds /2.
Gate and channel voltage Vgc is Vg – Vc = Vgs – Vds /2,
The current between source and drain is the total amount of charge in the channel divided by
the time required to cross.
----------- (4)
Equation (4) is called linear or resistive, because when Vds << VGT, Ids increases linearly with
Vds, like an ideal resistor.
k’ is the k prime, k’ = µCox.
If Vds > Vdsat = VGT, the channel is no longer inverted in the drain region. Channel is pinched
off.
Beyond this point (called the drain saturation voltage), increasing the drain voltage has no
further effect on current.
Substituting Vds = Vdsat in Eq (4), we can find an expression for the saturation current (Ids) that
is independent of Vds.
I ds
VGT2
---------------------(5)
2
This expression is valid for Vgs > Vt and Vds > Vdsat .
www.EnggTree.com
Summarizes the current in the three regions:
**********************************************************************************
1.6: C – V CHARACTERISTICS OF MOS TRANSISTOR (AC characteristics)
Explain the dynamic behavior of MOSFET transistor with neat diagram. (April
2018)
Discuss the CV characteristics of the CMOS. (Nov 2012, May 2014, Nov 2015,
Nov 2016)
Explain the electrical properties CMOS. (Nov 2017) [April / May 2023]
Each terminal of an MOS transistor has capacitance to the other terminals. Capacitances are
nonlinear and voltage dependent (C-V).
SIMPLE MOS CAPACITANCES MODEL:
The gate of an MOS transistor is a good capacitor. Its capacitance is necessary to attract
charge to invert the channel, so high gate capacitance is required to obtain high Ids.
The gate capacitor can be viewed as a parallel plate capacitor with the gate on top, channel on
bottom and the thin oxide dielectric between.
The capacitance is Cg = Cox WL. ----------------(1)
Cg = Cpermicron W ---------------(2)
ox
Where Cpermicron = Cox L = L
tox
In addition to the gate, the source and drain also have capacitances. These capacitances are
called parasitic capacitors.
The source and drain capacitances arise from the p–n junctions between the source or drain
diffusion and the body. These capacitances are called diffusion capacitance Csb and Cdb.
The depletion region acts as an insulator between the conducting p- and n-type regions,
creating capacitance across the junction.
The capacitance of junctions depends on the area and perimeter of the source and drain
diffusion, the depth of the diffusion, the doping levels and the voltage.
As diffusion has both high capacitance and high resistance, it is generally made as small as
possible in the layout.
MOS gate places above the channel and may partially overlap the source and drain diffusion
areas.
The gate capacitance has two components, (i) the intrinsic capacitance Cgc (over the channel)
and (ii) the overlap capacitances Cgol (to the source and drain).
www.EnggTree.com
The intrinsic capacitance was approximated as a simple parallel plate with capacitance
C0 =WLCox.
The intrinsic capacitance has three components representing the different terminals connected
to the bottom plate are Cgb (gate-to-body), Cgs (gate-to-source), and Cgd (gate-to-drain).
The behavior in three regions (Cutoff, Linear and Saturation) can be approximated as shown in
Table 1.
The capacitance depends on both the area AS and sidewall perimeter PS of the source
diffusion region. The area is AS = WD.
The perimeter is PS = 2W +2D.
Where, Cjbs - Capacitance of the junction between the body and the bottom of the source
Cjbssw - Capacitance of the junction between the body and the side walls of the source
In summary, MOS transistor can be viewed as a four-terminal device with capacitances
between each terminal pair, as shown in Figure 10.
The DC transfer characteristics of a circuit relate the output voltage to the input voltage.
www.EnggTree.com
Table 2: Relationships between voltages for the three regions of operation of a CMOS inverter
Figure 12(a), shows Idsn and Idsp in terms of Vdsn and Vdsp for various values of Vgsn and Vgsp.
Figure 12(b), shows the same plot of Idsn and |Idsp| in terms of Vout for various values of Vin.
Operating points are plotted on Vout vs. Vin axes in Figure 12(c) to show the inverter DC
transfer characteristics.
The supply current IDD = Idsn = |Idsp| is plotted against Vin in Figure 13(d) showing that both
transistors are momentarily ON as Vin.
The operation of the CMOS inverter can be divided into five regions as indicated on figure
12(c).
www.EnggTree.com
NML is defined as the difference in maximum LOW input voltage VIL and the maximum LOW
output voltage VOL. NML = VIL - VOL
The value of NMH is the difference between the minimum HIGH output voltage VOH and the
minimum HIGH input voltage VIH. i.e., NMH = VOH - VIH
Inputs between VIL and VIH are said to be in the indeterminate region or forbidden zone.
(iv) Pass Transistor DC Characteristics:
The nMOS transistors pass 0’s well but 1’s poorly. Figure 15(a), shows an nMOS transistor
with the gate and drain tied to VDD.
Initially at Vs = 0. Vgs > Vtn, so the transistor is ON and current flow.
Therefore, nMOS transistors attempting to pass a 1 never pull the source above VDD – Vtn. This
loss is called a threshold drop.
The pMOS transistors pass 1’s well but 0’s poorly.
If the pMOS source drops below |Vtp|, the transistor cuts off.
Hence, pMOS transistors only pull down to a threshold above GND, as shown in
Figure 15(b).
Explain in detail about the non ideal I-V characteristics of a CMOS device. (MAY 2013)
Explain channel length modulation and body effect. (Nov 2009, May 2013)
Current is proportional to the lateral electric field Elat = Vds /L between source and drain.
A high voltage at the gate of the transistor attracts the carriers to the edge of the channel,
causing carriers collision with the oxide interface that slows the carriers. This is called
mobility degradation.
Carriers approach a maximum velocity (vsat) when high fields are applied. This phenomenon is
called velocity saturation.
Explain in detail about effect and its effect in MOS device. (May 2016)
www.EnggTree.com
Threshold voltage Vt increases with the source voltage, decreases with the body voltage,
decreases with the drain voltage and increases with channel length.
Body Effect:
When a voltage Vsb is applied between the source and body, it increases the amount of charge
required to invert the channel. Hence, it increases the threshold voltage.
The threshold voltage can be modeled as
where Vt0 is the threshold voltage when the source is at the body potential,
фs is the surface potential at threshold and γ is the body effect coefficient.
(iv) Leakage:
Even when transistors are OFF, transistors leak small amounts of current.
Leakage mechanisms include subthreshold conduction between source and drain, gate leakage
from the gate to body and junction leakage from source to body and drain to body.
Subthreshold conduction is caused by thermal emission of carriers over the potential barrier
set by the threshold.
Gate leakage is a quantum-mechanical effect caused by tunneling through the extremely thin
gate dielectric.
Junction leakage is caused by current through the p-n junction between the source/drain
diffusions and the body.
**********************************************************************************
Explain the following: Device models and device characteristics. (MAY 2014)
SPICE (Simulation Program with Integrated Circuit Emphasis) provides a wide variety of
MOS transistor models with various trade-offs between complexity and accuracy.
Level 1 and Level 3 models were important, but they are no longer adequate to accurately
model very small modern transistors.
BSIM models are more accurate and are presently the most widely used.
i. Level 1 model:
The SPICE Level 1, or Shichman-Hodges Model is closely related to the Shockley model,
enhanced with channel length modulation and the body effect.
The basic current model is:
www.EnggTree.com
The parameters from the SPICE model are given in ALL CAPS.
β is written instead as KP (Weff /Leff ), where KP is a model parameter. Weff and Leff are the
effective width and length.
The LAMBDA term (LAMBDA =1/VA) models channel length modulation.
The threshold voltage is modulated by the source-to-body voltage Vsb through the body effect.
For non negative Vsb , the threshold voltage, Vt is
BSIM versions 1, 2, 3v3, and 4 are implemented as SPICE levels 13, 39, 49, and 54,
respectively.
BSIM is quite good for digital circuit simulation.
Features of the model are
Continuous and differentiable I-V characteristics across subthreshold, linear and
saturation regions for good convergence.
Sensitivity of parameters such as Vt to transistor length and width.
Detailed threshold voltage model including body effect and drain-induced barrier
Lowering (DIBL).
Velocity saturation, mobility degradation and other short-channel effects.
Multiple gate capacitance models.
Diffusion capacitance and resistance models.
Gate leakage models.
**********************************************************************************
1.10: SCALING
Discuss the scaling principles and its limits. (MAY 2013, Nov 2017, Nov 2018)
Discuss the principle of constant field and lateral scaling. Write the effects of the above scaling
methods on the device characteristics. (Nov 2012, Dec 2011, Nov 2015, May 2016)
Explain need of scaling, scaling principles and fundamental units of CMOS inverter. (May 2017)
Highlight the need for scaling. Enumerate in detail constant electric field, constant voltage and
combined electric field and voltage scaling for different parameters of MOSFET. [Nov 2019]
S S
Lateral scaling (gate-shrink):
Another approach is lateral scaling, in which only the gate length is scaled.
17 Prepared by: Mr.B.Arun kuamr, AP/ECE,SANCET
This is commonly called as gate shrink, because it can be done easily to an existing mask
database for a design.
Ids per transistor are scaled by S.
No. of transistors per unit area is scaled by S.
Current density is scaled by S2 and power density is scaled by S2.
The industry generally scales process generations with 30% shrink.
It reduces the cost (area) of a transistor by a factor of two.
A 5% gate shrink (S = 1.05) is commonly applied as a process, becomes mature to boost the
speed of components in that process.
Constant voltage scaling: V DD is held constant, while process is scaled.
Constant voltage scaling (Fixed scaling) offers quadratic delay improvement as well as cost
reduction.
It is also maintaining continuity in I/O voltage standards. Constant voltage scaling increases
the electric fields in devices.
Ids per transistor are scaled by S.
No. of transistors per unit area is scaled by S2.
Current density is scaled by S3 and power density is scaled by S3.
A 30% shrink with Dennard scaling improves clock frequency by 40% and cuts power
consumption per gate by a factor of 2.
Maintaining a constant field has the further benefit, that many nonlinear factors and wear out
mechanisms are unaffected.
From 90nm generation technology, voltage scaling is dramatically slowed down due to
leakage. This may ultimately limit CMOS scaling.
www.EnggTree.com
Interconnecting Scaling:
Wires to be scaled equally in width and thickness to maintain an aspect ratio close to 2.
Wires can be classified as local, semiglobal and global.
Local wires run within functional units and use the bottom layers of metal.
Semiglobal wires run across larger blocks or cores, typically using middle layers of metal.
Both local and semiglobal wires are scaling with feature size.
Global wires run across the entire chip using upper levels of metal.
Global wires do not scale with feature size. Indeed, they may get longer (by a factor of DC, on
the order of 1.1) because, die size has been gradually increasing.
When wire thickness is scaled, the capacitance per unit length remains constant.
**********************************************************************************
n-WELL PROCESS:
Step 1: Start with blank wafer
First step will be to form the n-well
– Cover wafer with protective layer of SiO2 (oxide)
– Remove layer where n-well should be built.
p substrate
Step 2: Oxidation
Grow SiO2 on top of Si wafer, at 900 – 12000 C with H2O or O2 in oxidation furnace.
www.EnggTree.com SiO2
p substrate
Step 3: Photoresist
• Spin on photoresist
– Photoresist is a light-sensitive organic polymer.
– Softens, where exposed to light.
Photoresist
SiO2
p substrate
Step 4: Lithography
• Expose photoresist through n-well mask.
• Strip off exposed photoresist.
Photoresist
SiO2
p substrate
Step 5: Etch
• Etch oxide with hydrofluoric acid (HF).
• Only attracts oxide, where resist has been exposed.
Photoresist
SiO2
p substrate
p substrate
Step 7: n-well
n-well is formed with diffusion or ion implantation.
SiO2
n well
n well
p substrate
Step 9:Polysilicon
• Deposit thin layer of oxide. Use CVD to form poly and dope heavily to increase
conductivity.
Polysilicon
Thin gate oxide
n well
p substrate
n well
p substrate
n well
p substrate
n+ n+ n+
n well
p substrate
Step 13:
• Strip off oxide to complete patterning step.
n+ n+ n+
n well
p substrate www.EnggTree.com
Step 14:P-Diffusion
• Similar set of steps are followed to form p+ diffusion regions for pMOS source and drain and
substrate contact.
p+ n+ n+ p+ p+ n+
n well
p substrate
n well
p substrate
n well
p substrate
********
P-WELL PROCESS:
A common approach to p-well CMOS fabrication is to start with moderately doped n-type
substrate (wafer), create the p-type well for the n-channel devices and build the p-channel
transistor in the native n-substrate.
Explain the twin tub process with a neat diagram. (Nov 2007, April 2008)
Twin-tub process:
Step 1:
n- Substrate is taken initially, which is shown in figure.
Step 2:
Next step is epitaxial layer deposition. Lightly doped epitaxial layer is deposited above n-
substrate.
Step 3:
The next step is tub formation. Two wells are formed namely n-well and p-well.
Polysilicon layer is formed above overall substrate.
Step 4: www.EnggTree.com
Polysilicon gates are formed for n-well and p-well by using photo-etching process.
Step 5:
n+diffusion is formed in n-well, P+ diffusion is formed in p-well. These are used for VDD
contact and VSS contact. These are known as substrate formation.
Step 6:
Then, contact cuts are defined as in n-well process. Then metallization is processed.
***********************************************************************************
Power consumption
Reduction of power consumption makes a device more reliable. The need for devices that consume a
minimum amount of power was a major driving force behind the development of CMOS
technologies. As a result, CMOS devices are best known for low power consumption. However, for
minimizing the power requirements of a board or a system, simply knowing that CMOS devices may
use less power than equivalent devices from other technologies does not help much. It is important to
know not only how to calculate power consumption, but also to understand how factors such as input
voltage level, input rise time, power-dissipation capacitance, and output loading affect the power
consumption of a device.
High frequencies impose a strict limit on power consumption in computer systems as a whole.
Therefore, power consumption of each device on the board should be minimized. Power calculations
determine power-supply sizing, current requirements, cooling/heatsink requirements, and criteria for
device selection. Power calculations also can determine the maximum reliable operating frequency.
This power consumption occurs when all inputs are held at some valid logic level and the
circuit is not in charging states.
www.EnggTree.com
But, when switching at a high frequency, dynamic power consumption can contribute
significantly to overall power consumption.
Charging and discharging a capacitive output load further increases this dynamic power
consumption. This application report addresses power consumption in CMOS logic families
(5 V and 3.3 V) and describes the methods for evaluating both static and dynamic power
consumption.
Additional information is also presented to help explain the causes of power consumption, and
present possible solutions to minimize power consumption in a CMOS system.
Static Power Consumption Typically, all low-voltage devices have a CMOS inverter in the
input and output stage. Therefore, for a clear understanding of static power consumption, refer
to the CMOS inverter modes shown in Figure
As shown in above Figure, if the input is at logic 0, the n-MOS device is OFF, and the p-MOS
device is ON (Case 1).
The output voltage is VCC, or logic 1. Similarly, when the input is at logic 1, the associated n-
MOS device is biased ON and the p-MOS device is OFF.
The output voltage is GND, or logic 0. Note that one of the transistors is always OFF when
the gate is in either of these logic states.
Since no current flows into the gate terminal, and there is no dc current path from VCC to
www.EnggTree.com
GND, the resultant quiescent (steady-state) current is zero, hence, static power consumption
(Pq) is zero.
However, there is a small amount of static power consumption due to reverse-bias leakage
between diffused regions and the substrate.
This leakage inside a device can be explained with a simple model that describes the parasitic
diodes of a CMOS inverter, as shown in Figure below.
The source drain diffusion and N-well diffusion form parasitic diodes. In above Figure, the parasitic
diodes are shown between the N-well andwww.EnggTree.com
substrate. Because parasitic diodes are reverse biased, only
their leakage currents contribute to static power consumption.
The leakage current (Ilkg) of the diode is described by the following equation:
Where:
V = diode voltage
T = temperature
Static power consumption is the product of the device leakage current and the supply voltage.
Most CMOS data sheets specify an ICC maximum in the 10-µA to 40-µA range, encompassing total
25 Prepared by: Mr.B.Arun kuamr, AP/ECE,SANCET
leakage current and other circuit features that may require some static current not considered in the
simple inverter model.
The leakage current ICC (current into a device), along with the supply voltage, causes static power
consumption in the CMOS devices.
This static power consumption is defined as quiescent, or PS, and can be calculated by equation 3.
Where:
Another source of static current is ∆ICC. This results when the input levels are not driven all the way
to the rail, causing the input transistors to not switch off completely.
The dynamic power consumption of a CMOS IC is calculated by adding the transient power
consumption (PT), and capacitive-load power consumption (PL).
Transient Power Consumption Transient power consumption is due to the current that flows
only when the transistors of the devices are switching from one logic state to another.
www.EnggTree.com
This is a result of the current required to charge the internal nodes (switching current) plus the
through current (current that flows from VCC to GND when the p-channel transistor and n-
channel transistor turn on briefly at the same time during the logic transition).
The frequency at which the device is switching, plus the rise and fall times of the input signal,
as well as the internal nodes of the device, have a direct effect on the duration of the current
spike.
For fast input transition rates, the through current of the gate is negligible compared to the
switching current. For this reason, the dynamic supply current is governed by the internal
capacitance of the IC and the charge and discharge current of the load capacitance.
Where:
Dynamic supply current is dominant in CMOS circuits because most of the power is consumed in
moving charges in the parasitic capacitor in the CMOS gates.
As a result, the simplified model of a CMOS circuit consisting of several gates can be viewed as one
large capacitor that is charged and discharged between the power-supply rails.
Therefore, the power–dissipation capacitance (Cpd) is often specified as a measure of this equivalent
capacitance and is used to approximate the dynamic power consumption.
Cpd is defined as the internal equivalent capacitance of a device calculated by measuring operating
current without load capacitance.
Depending on the output switching capability, Cpd can be measured with no output switching (output
disabled) or with any of the outputs switching (output enabled).
Additional power is consumed in charging external load capacitance and is dependent on switching
frequency.
The following equation can be used to calculate this power if all outputs have the same load and are
switching at the same output frequency.
In the case of different loads and different output frequencies at all outputs, equation 6 is used to
calculate capacitive-load power consumption.
Where:
fOn = all different output frequencies at each output, numbered 1 through n (Hz)
Therefore, dynamic power consumption (PD) is the sum of these two power consumptions and can be
27 Prepared by: Mr.B.Arun kuamr, AP/ECE,SANCET
Where:
fOn = all different output frequencies at each output, numbered 1 through n (Hz)
Total power consumption is the sum of static and dynamic power consumption.
6. Draw the schematic structure of n-MOS and p-MOS transistor with symbol.
8. Compare nMOS and pMOS devices. (or) How CMOS act as a switch? (Nov 2007)
[April/May – 2023]
In nMOS, electrons are the majority carriers.
When the gate of an nMOS transistor is high, the transistor is ON. When the gate is low, the
nMOS transistor is OFF.
In pMOS, holes are the majority carriers.
When the gate of a pMOS transistor is low, the transistor is ON. When the gate is high, the
pMOS transistor is OFF.
nMOS Symbol pMOS Symbol
13. What are the three types of modes of MOS transistor? (or) Give the different modes of
operation of MOS transistor. (or) What are the different MOS layers? (Nov 2009)
Three types of modes of MOS transistor are accumulation mode, depletion mode and
inversion mode.
16. What is meant by inversion mode (or) inversion layer in MOS transistor?
If applying higher positive voltage exceeding a threshold voltage (Vt), attracting more positive
charges to the gate.
The holes are repelled and some free electrons in the body are attracted to the region beneath
(below) the gate. This conductive layer of electrons in the p-type body is called the inversion
layer.
30 Prepared by: Mr.B.Arun kuamr, AP/ECE,SANCET
22. Determine whether an nMOS transistor with a threshold voltage of 0.7V is operating in
the saturation region if Vgs= 2V and Vds=3V.(Nov 2011)
Condition for saturation region is (Vgs – Vt) < Vds, So This nMOS transistor operated in the
saturation region.
23. Give the expression for drain current (Ids) for different modes of operation of MOS
transistor.
0 Vgs Vt cutoff
I ds V
Vgs Vt ds 2 Vds Vds Vdsat linear
Vgs Vt
2
Vds Vdsat saturation
2
(iii) Overlap capacitance: Cgsol (overlap capacitance to the source) & Cgdol (overlap
capacitance to the drain)
25. Define body effect (or) substrate bias effect. [May/June-2009] [Apr/may-2010]
What is meant by body effect? (NOV. 2014)
Define body bias effect. (Nov 2016)
Threshold voltage (Vt) is not constant with respect to voltage difference between
substrate and source of MOS transistor. This is known as body effect. It is otherwise known as
substrate bias effect.
27. What are the secondary effects (or ) Non ideal effects of MOS transistor?[May 2014]
Secondary effects are
Mobility degradation www.EnggTree.com
Velocity saturation
Channel length modulation
Body effect
Subthreshold conduction
Junction leakage current
Tunneling
Short channel effect
29. Define channel length modulation.(Nov 2011, April 2016, May 2017)
Channel length modulation defines effective length of the conductive channel. It is modulated
by the external applied Vds. Increasing Vds, causes the depletion region at the drain junction to
grow and thus reduces the length of the effective channel.
2 Vds
In Saturation region, Ids is I ds VGT 1
2 VA
33. What are the advantages of CMOS inverter over the other inverter configurations?
a. The steady state power dissipation of the CMOS inverter circuit is negligible.
b. The voltage transfer characteristic (VTC) exhibits a full output voltage wing between 0V
and VDD. This results in high noise margin.
www.EnggTree.com
34. Draw the DC transfer characteristics of CMOS inverter. (NOV.2013, APRIL-2015)
DC transfer characteristics of CMOS inverter:
36. What are the steps involved in the process of IC fabrication? (May 2010)
Steps involved in IC fabrication:
Silicon wafer Preparation
Epitaxial Growth
Oxidation
Photolithography
33 Prepared by: Mr.B.Arun kuamr, AP/ECE,SANCET
Diffusion
Ion Implantation
Isolation technique
Metallization
Assembly processing & Packaging
37. What are the different fabrication processes available to CMOS technology?
Different CMOS processes are
p-well process, n-well process, Twin-tub process, and Silicon On Insulator (SOI)
43. What is the influence of voltage scaling on power and delay? [Apr/May-2011]
Due to voltage scaling, the power dissipation will be reduced with the increase in delay
(i.e) speed decreases.
44. List out the limitations of the constant voltage scaling. (Nov 2015)
Constant voltage scaling is increasing the electric fields in devices.
Voltage scaling has dramatically slowed down due to leakage. This may ultimately limit
CMOS scaling.
48. Why NMOS device conducts strong zero and weak one? (NOV 2018)
The nMOS transistors pass 0’s well but 1’s poorly.
nMOS transistors attempting to pass a 1 never pull the source above VDD – Vtn. This loss is
called a threshold drop.
49. By What factor RDS should be scaled, if constant electric filed scaling is employed?
[Nov/Dec-2022] www.EnggTree.com
The parameter RDS [ Drain Source Resistance] is scaled by 1 in constant electric field scaling.
• How Many numbers of gates are available in one chip, that also calculated.
55. What are the different operating regions for an MOS transistor?
• Cutoff Region
• Non-Saturated
• (Linear) Region
• Saturated Region
www.EnggTree.com
77. Name the special features of Twin tub process.
In Twin tub process, Threshold voltage, body effects of n and p devices are independently
optimized.
***************************************************************************
Propagation Delays, stick diagram, Layout diagrams, Examples of combinational logic design, Elmore’s
constant, Static Logic Gates, Dynamic Logic Gates, Pass Transistor Logic, Power Dissipation, Low Power
Design principles.
Draw a CMOS inverter. Analyze the switching characteristics during rise time when
Vin change from high to low. (April 2019-7M)
Derive an expression for the rise time, fall time and propagation delay of a CMOS
inverter. (DEC 2013, APRIL-2015) [Nov 2019] [Nov/Dec 2022]
Discuss in detail about the resistive and capacitive delay estimation of a CMOS inverter circuit.
(MAY 2013) (or)
Briefly explain about the RC delay model.
RC delay model approximates the nonlinear transistor I-V and C-V characteristics with an
average resistance and capacitance over the switching range of the gate.
Effective Resistance:
According to the long-channel model, current decreases linearly with channel length (L) and
hence resistance is proportional to L.
Equivalent RC Circuits:
Figure shows equivalent RC circuit models for nMOS and pMOS transistors of
width k with contacted diffusion on both source and drain.
The pMOS transistor has approximately twice the resistance of the nMOS transistor,
because holes have lower mobility than electrons.
www.EnggTree.com
A stick diagram is a cartoon of a chip layout. A "stick diagram" is a paper and pencil tool that
use to plan the layout of a cell.
The stick diagram resembles the actual layout, but uses "sticks" or lines to represent the
devices and conductors. Figure 17, shows a stick diagram for an inverter.
3 Prepared by: Mr.B.Arun kumar, AP/ECE,SANCET
The stick diagram represents the rectangles with lines, which represent wires and component
symbols.
The stick diagram does not represent all the details of a layout, but it makes some relationship
much clearer and it is simple to draw.
Layouts are constructed from rectangles, but stick diagrams are built from cartoon symbols for
components and wires.
www.EnggTree.com
Vias to connect wires, which do not normally interact, are drawn as black dots.
Figure 19, shows the stick figures for transistors.
Each type of transistor is represented as poly and diffusion crossings, much as in the layout.
Example:1
Here is the transistor schematic for a two-input NAND gate:
www.EnggTree.com
Example: 2
Draw the Stick diagram of CMOS Inverter
Example: 3
Draw the Stick diagram of CMOS NOR gate
www.EnggTree.com
Example: 4
Draw the stick diagram of [(A . B) +C]’
**********************************************************************************
Draw and explain briefly the n-well CMOS design rules. (NOV 2007, April 2008, MAY 2014)
Discuss in detail with a neat layout, the design rules for a CMOS inverter.
Write the layout design rules and draw diagram for four input NAND and NOR. (Nov 2016)
(April 2018)
State the minimum width and minimum spacing lambda based design rules to draw the
layout. (April 2019-6M)
Figure: Simplified λ -based design rules with CMOS inverter layout diagram
Contact Rules:
There are several generally available contacts:
Metal to p-active (p-diffusion)
Metal to n-active (n-diffusion)
Metal to polysilicon
Metal to well or substrate
Metal Rules:
Metal spacing may vary with the width of the metal line.
Metal wire width of minimum spacing may be increased. This is due to etch characteristics
versus large metal wires.
Via Rules:
Processes may allow vias to be placed over polysilicon and diffusion regions.
Some processes allow vias to be placed within these areas, but do not allow the vias to the
boundary of polysilicon or diffusion.
Example: NAND3
Draw the gate layout diagram of NAND. (May 2017)
Horizontal N-diffusion and p-diffusion strips
Vertical polysilicon gates
Metal1 VDD rail at top
Metal1 GND rail at bottom
Draw diagram for four input NAND and NOR gate. (Nov 2017)
4 input NOR gate 4 input NAND gate
www.EnggTree.com
**********************************************************************************
The npn transistor is formed between the grounded n-diffusion source of the nMOS transistor,
the p-type substrate and the n-well.
The resistors are due to the resistance through the substrate or well to the nearest substrate and
well taps.
The cross-coupled transistors form a bistable silicon-controlled rectifier (SCR). Both parasitic
bipolar transistors are OFF.
Latchup can be triggered, when transient currents flow through the substrate during normal
chip power-up.
www.EnggTree.com
2.5 Introduction (Combinational Logic Circuit):
CMOS logic
Alternative (ratioed circuits, dynamic circuits and pass transistor circuits) CMOS logic
configurations are called circuit families.
nMOS transistors provide more current than pMOS for the same size and capacitance, so
nMOS networks are preferred.
www.EnggTree.com
*************************************************************************************************
What is meant by Elmore’s delay and give expression for Elmore’s delay?
The Elmore delay model estimates the delay from a source, switching to one of the leaf
nodes. Delay is the sum over each node i of the capacitance Ci on the node multiplied by
the effective resistance R.
t pd R
nodes i
Ci
i tosource
The RC delay model is one, where delay is a linear function of the fanout of a gate.
The normalized delay of a gate canwww.EnggTree.com
be expressed in units of Y as d = f + p.
Where p is the parasitic delay inherent to the gate when no load is attached.
f is the effort delay or stage effort that depends on the complexity.
Effort delay of the gate is f = gh.
Where g is the logical effort (An inverter has a logical effort of 1).
Logical effort is defined as the ratio of the input capacitance of a gate to the input capacitance of
an inverter delivering the same output current.
h is the fanout or electrical effort. Electrical effort is defined as ratio of the output capacitance to
input capacitance.
More complex gates have greater logical efforts, indicating that they take longer time to drive a
given fanout.
For example, the logical effort of the 3-input NAND gate is 5/3.
The electrical effort can be computed as h Cout
Cin
Where Cout is the capacitance of the external load being driven and Cin is the capacitance
of the gate.
Normalized delay vs electrical effort for an idealized inverter and 3-input NAND gate shown
in diagram.
The y-intercepts indicate the parasitic delay. The slope of the lines is the logical effort.
The inverter has a slope of 1. The NAND gate has a slope of 5/3.
13 Prepared by: Mr.B.Arun kumar, AP/ECE,SANCET
Design a four input NAND gate and obtain its delay during the transition from high to low.
(April 2018)
Figure shows a model of an n-input NAND gate in which the upper inputs were all 1 and
the bottom input rises. The gate must discharge the diffusion capacitances of all of the internal nodes
as well as the output.
Elmore delay is
www.EnggTree.com
n2 5 42 5 16 20
Delay for 4 input NAND gate: n RC = 4 RC = RC =18RC
2 2 2 2 2 2
Logical effort
Obtain the logical effort and path efforts of the given circuit. (April 2018)
Delay in Multistage Logic Networks:
The figure shows the logical and electrical efforts of each stage in a multistage path as a
function of the sizes of each stage.
The path of interest (the only path in this case) is marked with the dashed blue line. Observe
that logical effort is independent of size, while electrical effort depends on sizes.
The path logical effort G can be expressed as the products of the logical efforts of each stage
along the path.
G gi
The path electrical effort H can be given as the ratio of the output capacitance the path
must drive divided by the input capacitance presented by the path
Cout ( path)
H
Cin( path)
The path effort F is the product of the stage efforts of each stage.
F fi gi hi
Introduce an effort to account for branching between stages of a path. This branching effort b
is the ratio of the total capacitance seen by a stage to the capacitance on the path.
Conpath Coffpath
b
Conpath
The path branching effort B is the product of the branching efforts between stages.
B bi
www.EnggTree.com
The path effort (F) is defined as the product of the logical, electrical, and branching
efforts of the path. The product of the electrical efforts of the stages is actually BH, not just H.
F = GBH
Compute the delay of a multistage network. The path delay D is the sum of the delays
of each stage. It can also be written as the sum of the path effort delay DF
D di DF P
DF fi
P pi
The product of the stage efforts is F, independent of gate sizes. The path effort delay is
the sum of the stage efforts. The sum of a set of numbers whose product is constant is minimized by
choosing all the numbers to be equal.
The path delay is minimized when each stage bears the same effort. If a path has N stages and
each bears the same effort, that effort must be
fˆ = gi hi = F 1 / N
Thus, the minimum possible delay of an N-stage path with path effort F and path
parasitic delay P is
D = NF 1/ N + P
15 Prepared by: Mr.B.Arun kumar, AP/ECE,SANCET
It shows that the minimum delay of the path can be estimated knowing only the
number of stages, path effort, and parasitic delays without the need to assign transistor sizes.
The capacitance transformation formula is used to find the best input capacitance for a
Couti * gi
Cini
At the end of the path, apply the capacitance transformation to determine the size of each
stage. Check the arithmetic by verifying that the size of the initial stage matches the specification.
*************************************************************************
nMos
www.EnggTree.com
pMOS
**********************************************************************
By connecting an nMOS and a pMOS transistor in parallel, we obtain a switch that turns on
when a 1 is applied to the gate terminal in which 0’s and 1’s are both passed in an acceptable
fashion.
www.EnggTree.com
In a circuit where only a 0 or a 1 has to be passed, the appropriate transistor (n or p) can be
deleted, reverting to a single nMOS or pMOS device.
Note that, both the control input and its complement are required by the transmission gate.
This is called double rail logic.
When the control input is low( control =0), the switch is open, and when the control is high
(control=1) the switch is closed.
********************************************************************************
Assume a signal is available at the output of a minimum size inverter and that it is to
drive a load CL.
The average propagation delay associated with driving this load directly is,
www.EnggTree.com
Where, tapd is the average logic stage delay and CG is the input capacitance of the reference inverter.
This structure is composed of a cascade of n inverters each sized by the 4 : 1 sizing rule and each with a
drive capability that is α times as large as the previous stage.
The width and length of the kth stage can be characterized by the equations,
where,
Wdk and Ldk are device dimensions correspond to the pull down transistor
Wuk and Luk are device dimensions correspond to the pull up transistor
www.EnggTree.com
Let r be the ratio between the propagation delays of the direct drive circuit and of the geometric cascade
approach.
It is our goal to determine n and α to minimize r and thus minimize the propagation delay in driving the
load.
Therefore, n can be eliminated from the expression for r to obtain the expression.
*******************************************************************
Briefly discuss about the classification of circuit families and comparison of the circuit families.
(May 2014, APRIL-2015)
Draw the CMOS logic circuit for the Boolean expression Z= A(B C) DE and explain. (April
2018)
www.EnggTree.com
Figure: Static CMOS inverter
Advantages of static CMOS:
Static CMOS circuits have good noise margins
Static CMOS circuits are fast, low power, easy to design.
Static CMOS circuits are widely supported by CAD tools.
Static CMOS circuits are available in standard cell libraries.
Drawback of static CMOS
It requires both nMOS and pMOS transistors for each input.
It has a relatively large logical effort.
Gate delay is increased.
a. Bubble pushing
CMOS stages are inherently inverting, so AND and OR functions must be built from NAND
and NOR gates.
DeMorgan’s law helps with this conversion:
A.B A B
A B A.B
b. Compound Gates
Static CMOS also efficiently handles compound gates computing various inverting
combinations of AND/OR functions in a single stage.
The function F = AB +CD can be computed with an AND-OR INVERT- 22 (AOI22) gate and
an inverter, as shown in Figure.
The logical effort and parasitic delay of different gate inputs are different.
Consider the falling output transition occurring, when one input hold a stable 1 value and the
other rises from 0 to 1.
If input B rises last, node x will initially be at VDD – Vt = VDD, because it was pulled up
through the nMOS transistor on input A.
The Elmore delay is (R/2)(2C) + R(6C) =7RC=2.33 τ
If input A raises last, node x will initially be at 0 V, because it was discharged through the
nMOS transistor on input B.
No charge must be delivered to node x, so the Elmore delay is simply R(6C) =6RC =2τ.
When one input is far less critical than another, even symmetric gates can be made asymmetric
to favor the late input at the expense of the early one.
In a series network, this involves connecting the early input to the outer transistor and making
the transistor wider, so that, it offers less series resistance when the critical input arrives.
In a parallel network, the early input is connected to a narrower transistor to reduce the
parasitic capacitance.
Consider the path in Figure (a). Under ordinary conditions, the path acts as a buffer between A
and Y.
When reset is asserted, the path forces the output low.
If reset only occurs under exceptional circumstances and take place slowly, the circuit should
be optimized for input-to-output delay at the expense of reset.
This can be done with the asymmetric NAND gate in Figure (b).
e. Skewed gates
What is meant by skewed gate and give functions of skewed gate with schematic diagrams?
One input transition is more important than the other. HI-skew gates to favor the rising output
transition. LO-skew gates to favor the falling output transition.
This favoring can be done by decreasing the size of the noncritical transistor.
The logical efforts for the rising (up) and falling (down) transitions are called gu and gd,
respectively.
Figure (a) shows, how a HI-skew inverter is constructed by downsizing the nMOS transistor.
This maintains the same effectivewww.EnggTree.com
resistance for the critical transition, while reducing the input
capacitance relative to the unskewed inverter of Figure (b).
Thus reducing the logical effort on that critical transition to gu = 2.5/3 =5/6.
The logical effort for the falling transition is estimated by comparing the inverter to a smaller
unskewed inverter with equal pulldown current, shown in Figure (c), giving a logical effort of
gd =2.5/1.5 =5/3.
f. P/N ratios
By accepting a slower rise delay, the pMOS transistors can be downsized to reduce input
capacitance and average delay significantly.
P/N ratio is defined as the ratio ofwww.EnggTree.com
pMOS to nMOS transistor width. For processes, a mobility
ratio of µn/µp = 2.
Realize the following function Y=(A+BC)D+E using static CMOS logic. (April 2019-6M)
Example: Realize the following function Y= [AB+C (D+E)]’ using static CMOS logic. [May 2021
(model)]
Example: Implement the following expression in static CMOS logic fashion using no more than
10 transistors. Y = (AB + ACE + DE + DCB)’ [Nov 2019]
www.EnggTree.com
*********************************************************************************
2.10.2: Ratioed Circuits:
The ratioed gate consists of an nMOS pulldown network and pullup device called the static
load.
When the pulldown network is OFF, the static load pulls the output to 1.
When the pulldown network turns ON, it fights the static load.
The static load must be weak enough that, the output pulls down to an acceptable 0. Hence,
there is a ratio constraint between the static load and pulldown network.
o A resistor is a simple static load, but large resistors consume a large layout area in
typical MOS processes.
Another technique is to use an nMOS transistor with the gate tied to VGG (Shown in fig.(b)). If
VGG =VDD, the nMOS transistor will only pull up to VDD – Vt.
Figure (c) shows depletion load ratioed circuit.
Explain the detail about pseudo-nMOS gates with neat circuit diagram. (April/May 2011)
(Nov/Dec 2013)
Implement NAND gate using pseudo- nMOS logic. (Nov 2013, May 2021[model])
2.10.5: Differential Cascode voltage switch with pass gate logic (DCVSPG)
Cascode Voltage Switch Logic (CVSL) seeks the benefits of ratioed circuits without the static
power consumption.
It uses both true and complementary input signals and computes both true and complementary
outputs using a pair of nMOS pulldown networks, as shown in Figure (a).
The pulldown network f implements the logic function as in a static CMOS gate, while f uses
inverted inputs feeding transistors arranged in the conduction complement.
For any given input pattern, one of the pulldown networks will be ON and the other OFF.
The pulldown network that is ON will pull that output low.
This low output turns ON the pMOS transistor to pull the opposite output high.
When the opposite output rises, the other pMOS transistor turns OFF, so no static power
dissipation occurs.
Figure (b) shows a CVSL AND/NAND gate.
Advantage:
CVSL has a potential speed advantage because all of the logic is performed with nMOS
transistors, thus reducing the input capacitance.
www.EnggTree.com
Describe the basic principle of operation of dynamic CMOS, domino and NP domino logic
with neat diagrams. (NOV 2011) [April / May 2023]
Dynamic Circuits:
Ratioed circuits reduce the input capacitance by replacing the pMOS transistors connected to
the inputs with a single resistive pullup.
The drawbacks of ratioed circuits include
o Slow rising transitions,
o Contention on the falling transitions,
o Static power dissipation and a nonzero VOL.
Dynamic circuits avoid these drawbacks by using a clocked pullup transistor rather than a
pMOS that is always ON.
Figure compares (a) static CMOS, (b) pseudo-nMOS, and (c) dynamic inverters.
Figure: Comparison of (a) static CMOS, (b) pseudo-nMOS, and (c) dynamic inverters
Dynamic circuit operation is divided into two modes, as shown in Figure.
(i) During precharge, the clock ф is 0, so the clocked pMOS is ON and initializes the output Y
high.
(ii) During evaluation, the clock is 1 and the clocked pMOS turns OFF. The output may
remain high or may be discharged low through the pulldown network.
The given below figure estimates the falling logical effort of both footed and unfooted
dynamic gates.
www.EnggTree.com
Figure: List of dynamic gates
The pull down transistor’s width is chosen to give unit resistance. Precharge occurs while the
gate is idle and takes place more slowly.
Therefore, the precharge transistor width is chosen for twice unit resistance.
This reduces the capacitive load on the clock and the parasitic capacitance at the expense of
greater rising delays.
Footed gates have higher logical effort than their unfooted concept but are still an
improvement over static logic.
The parasitic delay does increase with the number of inputs, because there is more diffusion
capacitance on the output node.
A fundamental difficulty with dynamic circuits is the monotonicity requirement. While a
dynamic gate is in evaluation, the inputs must be monotonically rising.
That is, the input can start LOW and remain LOW, start LOW and rise HIGH, start HIGH and
remain HIGH, but not start HIGH and fall LOW.
Figure shows waveforms for a footed dynamic inverter in which the input violates
monotonicity.
To overcome the dynamic charge sharing and soft- node leakage problems in NORA CMOS
structures, a circuit technique called Zipper CMOS can be used. The basic circuit architecture of
Zipper CMOS is essentially identical to NORA CMOS, with the exception of the clock signals.
*****************************************************************************
www.EnggTree.com
Application:
Pass transistors are essential to the design of efficient 6-transistor static RAM cells used in
modern systems.
Complementary function can be implemented from the same circuit structure by applying
complementary principle:
Complementary Principle: Using the same circuit topology, with pass signals inverted,
complementary logic function is constructed in CPL.
By applying duality principle, a dual function is synthesized:
Duality Principle: Using the same circuit topology, with gate signals inverted, dual logic function is
constructed.
Following pairs of basic functions are dual:
AND-OR (and vice-versa)
NAND-NOR (and vice-versa)
XOR and XNOR are self-dual (dual to itself)
www.EnggTree.com
2.12.1: Differential Pass Transistor Logic / Complementary Pass Transistor Logic (CPL)
For high performance design, a differential pass-transistor logic family, called CPL, is
commonly used.
The basic idea is to accept true and complementary inputs and produce true and
complementary outputs.
A number of CPL gates (AND/NAND, OR/NOR, and XOR/NXOR) are shown in Figure.
Since the circuits are differential, complementary data inputs and outputs are always available.
Both polarities of every signal eliminate the need for extra inverters, as is often the case in
static CMOS or pseudo-NMOS.
CPL belongs to the class of static gates, because the output-defining nodes are always
connected to either VDD or GND through a low resistance path.
This is advantage for the noise flexibility.
www.EnggTree.com
Formal Method for CPL Logic Derivation (AND, NAND, OR, NOR)
(a) Cover the Karnaugh-map with largest possible cubes (overlapping allowed)
(b) Express the value of the function in each cube in terms of input signals
(c) Assign one branch of transistor(s) to each of the cubes and connect all the branches to one
Example: Realize XOR and XNOR gate using CPL. [Nov 2019]
www.EnggTree.com
Example: Realize XOR gate using Double Pass Transistor Logic (DPL).
www.EnggTree.com
Synthesis Rules
Two NMOS branches cannot be overlapped covering logic 1s. Similarly, two PMOS branches
cannot be overlapped covering logic 0s.
Pass signals are expressed in terms of input signals or supply. Every input vector has to be
covered with exactly two branches.
At any time, excluding transitions, exactly two transistor branches are active (any of the pairs
NMOS/PMOS, NMOS/NMOS and PMOS/PMOS are possible), i.e. they both provide output
current.
Complementary Principle: Complementary logic function in DPL is generated after the following
modifications:
Exchange PMOS and NMOS devices. Invert all pass and gate signals
Duality Principle: Dual logic function in DPL is generated when:
36 Prepared by: Mr.B.Arun kumar, AP/ECE,SANCET
PMOS and NMOS devices are exchanged, and VDD and GND signals are exchanged.
Example: Realize AND and NAND gate using DPL.
Example: Realize full adder (sum circuit) using Double Pass Transistor Logic (DPL).
www.EnggTree.com
Discuss in detail the characteristics of CMOS Transmission gates.(May 2016, May 2017, Nov 2017)
Explain Transmission gates with neat sketches. (April 2008, April 2018)
List out limitations of pass transistor logic. Explain any two techniques used to overcome
limitations. (NOV 2018)
A transmission gate in conjunction with simple static CMOS logic is called CMOS with
transmission gate.
A transmission gate is parallel pairs of nMOS and pMOS transistor.
A single nMOS or pMOS pass transistor suffers from a threshold drop.
Transmission gates solve the threshold drop but require two transistors in parallel.
The resistance of a unit-sized transmission gate can be estimated as R for the purpose of delay
estimation.
Current flow the parallel combination of the nMOS and pMOS transistors. One of the
transistors is passing the value well and the other is passing it poorly.
A logic-1 is passed well through the pMOS but poorly through the nMOS.
Estimate the effective resistance of a unit transistor passing a value in its poor direction as
twice the usual value: 2R for nMOS and 4R for pMOS.
www.EnggTree.com
Dual-rail domino gates encode each signal with a pair of wires. The input and output signal
pairs are denoted with _h and _l, respectively.
Table summarizes the encoding. The _h wire is asserted to indicate that the output of the gate
is “high” or 1. The _l wire is asserted to indicate that the output of the gate is “low” or 0.
When the gate is precharged, neither _h nor _l is asserted. The pair of lines should never be
both asserted simultaneously during correct operation.
Dual-rail domino gates accept both true and complementary inputs and compute both true and
complementary outputs, as shown in Figure (a).
This is identical to static CVSL circuits except that the cross-coupled pMOS transistors are
instead connected to the precharge clock.
Therefore, dual-rail domino can be viewed as a dynamic form of CVSL, sometimes called
DCVS.
Figure (b) shows a dual-rail AND/NAND gate and Figure (c) shows a dual-rail XOR/XNOR
gate. The gates are shown with clocked evaluation transistors, but can also be unfooted.
keeper
Explain the Dynamic logic family
circuits with
also neat
suffer diagrams.
from charge leakage on the dynamic node.
Briefly discuss the signal integrity issues in dynamic
If a dynamic node is precharged high and then design.
left (April 2018,
floating, NOV 2018)
the voltage on the dynamic
node will drift over time due to subthreshold, gate and junction leakage.
Dynamic circuits have poor input noise margins.
If the input rises above Vt,, while the gate is in evaluation, the input transistors will turn
ON weakly and can incorrectly discharge the output.
Both leakage and noise margin problems can be addressed by adding a keeper circuit.
Figure shows a conventional keeper on a domino buffer. The keeper is a weak
transistor that holds, or staticizes, the output at the correct level when it would
otherwise float.
When the dynamic node X is high, the output Y is low and the keeper is ON to prevent
X from floating.
When X falls, the keeper initially opposes the transition, so it must be much weaker
than the pulldown network.
40 Prepared by: Mr.B.Arun kumar, AP/ECE,SANCET
Eventually Y rises, turning the keeper OFF and avoiding static power dissipation.
www.EnggTree.com
Charge sharing is serious when the output is lightly loaded (small CY ) and the internal
capacitance is large.
If the charge-sharing noise is small, the keeper will eventually restore the dynamic output to
VDD.
If the charge-sharing noise is large, the output may flip and turn off the keeper, leading to
incorrect results.
Charge sharing can be overcome by precharging some or all of the internal nodes with
secondary precharge transistors.
These transistors should be small, because they only charge the small internal capacitances
and their diffusion capacitance slows the evaluation.
It is sufficient to precharge every other node in a tall stack.
www.EnggTree.com
The HI-skew inverting static gates are replaced with predischarged dynamic gates using
pMOS logic.
A footed dynamic p-logic NAND gate is shown in Figure (b). When ф is 0, the first and third
stages precharge high while the second stage predischarges low.
When ф rises, all the stages evaluate. Domino connections are possible, as shown in Figure
(c).
The design style is called NP Domino or NORA Domino (NO RAce).
In an ordinary dynamic gate, the input has a low noise margin (about Vt ), but is strongly
driven by a static CMOS gate.
The floating dynamic output is more prone to noise from coupling and charge sharing, but
drives another static CMOS gate with a larger noise margin.
In NORA, however, the sensitive dynamic inputs are driven by noise prone dynamic outputs.
Besides drawback and the extra clock phase requirement, there is little reason to use NORA.
Zipper domino is a closely related technique, that leaves the precharge transistors slightly ON
during evaluation by using precharge clocks. This swing between 0 and VDD – |Vtp| for the
pMOS precharge and Vtn and VDD for the nMOS precharge.
www.EnggTree.com
Figure : NP Domino
**********************************************************************************
Explain the static and dynamic power dissipation in CMOS circuits with necessary
diagrams and expressions. (DEC 2011, Nov 2015, NOV 2016, May 2017, May 2010)
What are the sources of power dissipation in CMOS and discuss various design techniques
to reduce power dissipation in CMOS? (Nov 2012, May 2013, Nov 2014, May 2016)
Derive an expression for dynamic power dissipation. (April 2019, Nov 2019, May
2021)[April / May 2023]
The instantaneous power P (t) consumed by a circuit element is the product of the current and
the voltage of the element
P (t ) = I (t )V (t )
The energy consumed over time interval T is the integral of the instantaneous power
T
E P(t )dt
0
T
E 1
T T 0
The average power is Pavg P(t )dt
www.EnggTree.com
When the input switches from 1 to 0, the pMOS transistor turns ON and charges the load to
VDD.
According to EC equation the energy stored in the capacitor is
This is called the dynamic power because it arises from the switching of the load.
Because most gates do not switch every clock cycle, it is often more convenient to express
switching frequency fsw as an activity factor α times the clock frequency f.
The dynamic power dissipation may be rewritten as
The activity factor is the probability that the circuit node transitions from 0 to 1, because that
is the only time the circuit consumes power.
A clock has an activity factor of α = 1 because it rises and falls every cycle.
The total power of a circuit is calculated as,
Pdynamic = Pswitching + Pshort circuit
The supply voltage VDD and frequency f are known by the designer.
To estimate dynamic power, one can consider each node of the circuit.
The capacitance of the node is the sum of the gate, diffusion, and wire capacitances on the
node.
The activity factor can be estimated using switching probability or measured from logic
simulations.
The effective capacitance of the node is, its true capacitance multiplied by the activity factor.
The switching power depends on the sum of the effective capacitances of all the nodes.
www.EnggTree.com
2.17.1.1:Sources of dynamic power dissipation:
Dynamic dissipation due to
Charging and discharging load capacitances as gates switchs.
“Short-circuit” current while both pMOS and nMOS stacks are partially ON
Explain various ways to minimize the static and dynamic power dissipation. (Nov 2013, May 2015)
Discuss the low power design principles in detail. (Nov 2017)
Low power design involves considering and reducing each of the terms in switching power.
i. As VDD is a quadratic term, it is good to select the minimum VDD.
ii. Choose the lowest frequency.
iii. The activity factor is reduced by putting unused blocks to sleep.
iv. Finally, the circuit may be optimized to reduce the overall load capacitance.
45 Prepared by: Mr.B.Arun kumar, AP/ECE,SANCET
Activity factor:
If a circuit can be turned OFF entirely, the activity factor and dynamic power go to zero.
Blocks are typically turned OFF, by stopping the clock called as clock gating.
The activity factor of a logic gate can be estimated by calculating the switching probability.
(a)Clock gating:
Clock gating, AND’s a clock signal with an enable to turn OFF the clock to idle
blocks.
The clock enable must be stable, while the clock is active.
Figure shows how an enable latch can be used to ensure the enable does not change
before the clock falls.
Capacitance:
Switching capacitance comes from the wires and transistors in a circuit.
Wire capacitance is minimized through good floor planning and placement.
Device-switching capacitance is reduced by choosing smaller transistors.
www.EnggTree.com
Voltage:
Voltage has a quadratic effect on dynamic power.
Therefore, choosing a lower power supply significantly reduces power
consumption.
The chip may be divided into multiple voltage domains, where each domain is
optimized for the needs of certain circuits.
a. Voltage domains:
Selecting, which circuits belong in which domain and routing power supplies to
multiple domains.
Figure (Voltage domain crossing) shows direct connection of inverters in two
domains using high and low supplies, VDDH and VDDL, respectively.
It determines the supply voltage and clock frequency sufficient to complete the
workload on schedule or to maximize performance without overheating.
where Ioff is the subthreshold current at Vgs = 0 and Vds = VDD, and S is the subthreshold
slope.
2. Gate leakage:
Gate leakage occurs when carriers tunnel through a thin gate dielectric, when a voltage is
applied across the gate (e.g., when the gate is ON).
Gate leakage is a strong function of the dielectric thickness.
3. Junction leakage:
Junction leakage occurs when a source or drain diffusion region is at a different potential
from the substrate.
Leakage of reverse-biased diodes is usually negligible.
4. Contention current:
Static CMOS circuits have no contention current. However, certain alternative circuits
inherently draw current even while quiescent.
www.EnggTree.com
2.17.2.2:Methods of reducing static power:
Power gating:
To reduce static current during sleep mode is, to turn OFF the power supply to the
sleeping blocks. This technique is called power gating.
The logic block receives its power from a virtual VDD rail, VDDV.
When the block is active, the header switch transistors are ON, connecting VDDV to
VDD.
When the block goes to sleep, the header switch turns OFF, allowing VDDV to float and
gradually sink toward 0.
Multiple threshold voltage and oxide thickness:
Selective application of multiple threshold voltages can maintain performance on
critical paths with low-Vt transistors, while reducing leakage on other paths with high-
Vt transistors.
**********************************************************************
Let A, B, C and D be the inputs of a data selector and S0 & S1 be the select lines. Realize a
4:1 data selector using nMOS pass transistor and transmission gate approach. Compare
the hardware complexity. (April 2019-13M)
www.EnggTree.com
Realize a 2-input XOR using static CMOS, transmission gate and dynamic
CMOS logic. Analyze the hardware complexity. (April 2019-15M)
Draw a static CMOS XOR gate. [Nov 2019]
www.EnggTree.com
www.EnggTree.com
Q:What logic function does the circuit implement? To which logic family does the circuit
belong? Does the circuit have any advantages over fully complementary CMOS? [Nov 2019]
Solution:
The circuit implements Out = (A+BC)’. It is in the pseudo NMOS family.
The circuit uses less area than a fully complementary CMOS implementation.
What is the logic function implemented by the CMOS transistor network? Size the NMOS and
PMOS devices so that the output resistance is the same as that of an inverter with an NMOS
W/L = 4 and PMOS W/L = 8.
Solution:
The logic function is: Y = [(A+B) CD]’. The transistor sizes are given in the figure above.
www.EnggTree.com
www.EnggTree.com
3. What is the static CMOS inverter?
Static CMOS inverter circuit is the combination of nMOS pulldown and pMOS pullup
network.
www.EnggTree.com
14. What are the disadvantages of using a pseudo nMOS gate instead of a full CMOS
gate?(May 2012)
What is the drawback of pseudo nMOS logic?
Pseudo-nMOS gates will not operate correctly if (Maximum low level output) VOL
>VIL (Maximum low level input) of the receiving gate.
Ratioed circuits dissipate power continually in certain states and have poor noise
margin.
Ratioed circuits used in situations where smaller area is needed.
16. Compare CMOS combinational logic gates with reference to the equivalent nMOS
depletion load logic with reference to the area requirement.(May 2012)
For CMOS, the area required is 533µm2, for pseudo nMOS the area required is 288 µm2
AND OR Invert logic function (AOI) implements operation in the order of AND, OR, NOT
operations. So this logic function is known as AOI logic function.
18. What is AOI 221 Gate?
AOI 221, here 221 refers to number of inputs in each section.
22. Define rise & fall time. [April 2008, Nov/Dec-2008] [Nov/Dec-2009]
Rise time (tr):
It is defined as time for a waveform to rise from 20% to 80% of its steady state value.
Fall time (tf):
It is defined as time for a waveform to fall from 80% to 20% of its steady-state value.
23. What is edge rate?
Edge rate is defined as an average value of rise time and fall time.
Edge rate (trf ) = (tr + tf )/2 .
31. Write the general expression of parasitic delay for n inputs NAND and NOR
gate?
Expression of parasitic delay for n inputs NAND and NOR is n. Where, n – no. of
inputs.
32. Write the expression for the logical effort and parasitic delay of n input NOR gate.
[Nov/Dec-2011]
Logical effort for n inputs NOR gate is (2n+1)/3
Parasitic delay for n inputs NOR gate is n
34. What are the two modes of operation in dynamic logic and give its functions? (NOV
2021)
Dynamic circuit operation has two modes, as shown in Figure.
(i) During precharge, the clock ф is 0, so the clocked pMOS is ON and output Y is high.
(ii) During evaluation, the clock is 1 and the clocked pMOS turns OFF. The output may
remain high or may be discharged low through the pulldown network.
44. What is meant by CMOS Transmission gate? (Nov 2007, May 2011)(or)
Define Transmission gate. (May 2009)
A parallel pair of nMOS and pMOS transistors is called transmission gate.
Transmission gates solve the threshold drop problem but require two transistors in parallel.
45. State the advantages of Transmission gate. (April 2017, May 2021)
Transmission gates solve the threshold drop problem.
59 Prepared by: Mr.B.Arun kumar, AP/ECE,SANCET
46. Draw the CMOS implementation of 4-to-1 MUX using transmission gates.[Nov/Dec
2022]
CMOS implementation of 4-to-1 MUX using transmission gates:
47. What are the various forms of inverter based CMOS logic?
Various forms of inverter based CMOS logic:
i. Pseudo nMOS logic
ii. Dynamic CMOS logic
iii. Clocked CMOS logic
iv. CMOS domino logic
www.EnggTree.com
48. Draw 2:1 MUX using transmission gate. (Nov 2008, APRIL-2015, 2016)[April/May 2023]
2:1 MUX using transmission gate:
50. Draw a two input XOR using nMOS pass transistor logic. April 2019
www.EnggTree.com
52. List the types of power dissipation. [APRIL 2015, April 2018, Nov 2017]
List the various power losses in CMOS circuits. (May 2013)
Types of power dissipation are static and dynamic power dissipation.
At some stage both transistor pMOS and nMOS are in ON stage which, leads to short
circuit formation between VDD and GND, thus unwanted power dissipation occurs.
Static power dissipation is power consumed by transistor when it is not in operating
stage.
55. What are the factors that cause dynamic power dissipation in CMOS circuits?
(Nov 2016, NOV 2021)
Dynamic dissipation due to
Charging and discharging load capacitances as gates switch.
“Short-circuit” current while both pMOS and nMOS stacks are partially ON.
56. How can dynamic power dissipation reduced? (or)
State any two criteria for low power logic design. (Nov 2015, MAY 2014)
Dynamic power dissipation (Pdynamic) expressed as below,
Pdynamic CVDD 2 f
To reduce dynamic power, use the following
– α: clock gating, sleep mode
– C: small transistors (esp. on clock), short wires
www.EnggTree.com
– VDD: lowest suitable voltage
– f: lowest suitable frequency
57. Write the expression for power dissipation in CMOS inverter. [Nov/Dec-2008]
Total power dissipation Ptotal is the sum of dynamic power dissipation (Pdynamic) and static
power dissipation (Pstatic).
60. Why single phase dynamic logic structure cannot be cascaded? Justify.(May 2016)
No, single phase dynamic logic structure cannot be cascaded. Because monotonicity problem
will be raised, so static logic should be used in between dynamic logics structure.
62. Give the effects of supply voltage and temperature variations CMOS circuits. [Nov-2012]
Supply Voltage:
Supply voltage may vary due to tolerance of voltage regulators, IR drop along the supply
rail and di/dt noise.
www.EnggTree.com
Typically the supply is specified as +/- 10% around nominal (uniform distribution).
Speed is proportional to VDD, also noise budgets are affected.
Temperature
Parts must operate over a range of temperatures.
63. Implement a 2:1 multiplexer using pass transistor. (NOV/DEC-2013, April 2015)
Dynamic power is power consumed while the inputs are active. When inputs have ac
activity, capacitors are charging and discharging and the power increases as a result.
The dynamic power includes both the ac component as well as the static component.
65. What is the value of Vout for the figure shown below, where Vtn is threshold voltage of
transistor? (Nov 2016)
66. How does a transmission gate produce fully restored logic output? (NOV 2021)
A transmission gate is parallel pairs of nMOS and pMOS transistor. A single nMOS or pMOS
pass transistor suffers from a threshold drop. Transmission gates solve the threshold drop but
require two transistors in parallel.
One of the transistors is passing the value well and the other is passing it poorly. A logic-1 is
passed well through the pMOS but poorly through the nMOS. A logic-0 is passed well through
the nMOS but poorly through the pMOS.
www.EnggTree.com
67. What is charge sharing in dynamic CMOS logic? [Nov/Dec-2022]
Charge sharing problem occurs when the charge which is stored at the output node in the pre-
charge phase is shared among the junction capacitance of transistor in the evaluation phase.
Charge sharing may degrade the output voltage level or even cause an erroneous output value.
******************************************************************************
www.EnggTree.com
Design a 4:1 MUX using 2:1 MUX. Realize it using transmission gate. [Nov/Dec 2022]
www.EnggTree.com
Realize a 2-input NOR gate, NAND gate, XOR gate, XNOR gate using static CMOS logic.[Apr/May
2022]
Realize a 2-input NOR gate using static CMOS logic, Domino logic and Complementary pass
transistor logic. Analyze the hardware complexity in terms of transistor count. [Nov/Dec 2022]
www.EnggTree.com
Pseudo-nMOS logic
Domino logic
www.EnggTree.com
70. List out the advantages and disadvantages of Pass Transistor Logic. [April/May 2023]
The advantages of pass-transistor logic are the simple design, the reuse of already available
signals, and the low contribution to static power.
The disadvantage of PTL is that the output voltage is lower than the input and it does not
allow series connection of a large number of transistors.
71. List any two types of layout design rules. (Nov 2008, Nov 2009, May 2010)
Two types of layout design rules:
a. Lambda design rules
b. Micron rules
Design rules are used to produce workable mask layouts from which the various layers in
silicon will be formed or patterned.
74. By what factor, gate capacitance must be scaled if constant electric field scaling is
employed? (April 2019)
1
Gate capacitance is scaled by scaling factor in constant electric field scaling.
S
75. What are stick diagrams?
Stick diagrams are used to convey layer information through the use of a color code. A stick
diagram is a cartoon of a chip layout. The stick diagram represents the rectangles with lines
which represent wires and component symbols.
80. What is CMOS latchup? How do you prevent Latch up problem? (Nov 2008) (or)
What is Latch up problem in CMOS circuits? (May 2008, April 2016)
Latch up is a condition in which the parasitic components give rise to the
establishment low resistance conducting paths between VDD and VSS with disastrous results.
Careful control during fabrication is necessary to avoid this problem.
The remedies for the latch-up problem include:
(i) An increase in substrate doping levels.
70 Prepared by: Mr.B.Arun kumar, AP/ECE,SANCET
84. Draw the stick diagram of static CMOS 2-input NAND gate. (April 2018)
www.EnggTree.com
86. Why nMOS transistor is selected as pull down network? (Nov 2017)
Pull-up and pull-down networks in CMOS circuits are never both conducting and are
never both opened at the same time. This is the reason that nMOS transistors are used in the
pull-down network and pMOS in the pull-up network of a CMOS gate.
87. Draw the stick diagram of NMOS NOR gate. [Nov 2019]
89. What is Elmore’s delay model? (or) Give the expression for Elmore delay and state the
various parameters associated with it. (NOV. 2014, April 2016, 2017, 2018, Nov 2017)
[April/May – 2023]
The Elmore delay model estimates the delay from a source switching to one of the
leaf nodes. Delay is summing over each node i of the capacitance Ci on the node multiplied
by the effective resistance R.
www.EnggTree.com
Propagation delay time:
t pd R
nodes i
Ci
i tosource
C1 C2 C3 CN
90. Define logical effort and give logical effort value of inverter.
Logical effort (g) is defined as the ratio of the input capacitance of a gate to the input
capacitance of an inverter delivering the same output current.
An inverter has a logical effort of 1.
91. Write the general expression of logical effort for n inputs NAND and NOR
gate?
Expression of logical effort for n inputs NAND is (n+2)/3.
Expression of logical effort for n inputs NOR is (2n+1)/3. Where, n – no. of inputs.
93. Write the expression for parasitic delay and logical effort of an N-input NAND gate.
[April/May-2022]
Solution :
Parasitic delay for N-input NAND gate = n
Logical effort of an N-input NAND gate = (n+2)/3
94. Sketch a complementary CMOS gate computing W = (XY+YZ)’. [April/May-2022]
Sketch a complementary CMOS gate computing Y = (AB+BC)’. [Nov/Dec-2020,
April/May-2021]
www.EnggTree.com
www.EnggTree.com
98. Why NMOS device conducts strong zero and weak one?
Reason:
• Greater switching speed.
• Weak transistor is used to generate a high output voltage level
• The gate is “high” and channel is ‘low’.
• Nmos is turn on. Vg is at VDD VS charging towards VDD.
100. State the channel length modulation. Write the equation for describing channel length
modulation effect in NMOS transistor.
Channel length is varied due to changes in Vds (drain to source voltage). In saturation region,
channel length is decreased when (W/L) ratio is increased. So ᵝ is increased and drain voltage
is increased.
103. If two CMOS inverters are cascaded with an aspect ratio of 1:1 then determine the
inverter pair delay. [Nov/Dec-2022]
Solution :
Logical Effort of the inverter , g = 1
Here, single identical load. So, the electrical effort , h =1
Parasitic delay of an inverter , Pinv =1
Then, the delay of each stage is expressed as,
d = gh + p
= 1(1)+1 www.EnggTree.com
=2
104. Differentiate static and dynamic latches and registers. [Nov/Dec-2020., April/May-
2021]
Difference between Static latches & registers and Dynamic latches & registers:
Static Latches and Registers, Dynamic Latches and Registers, Pipelines, Non-bistable Sequential Circuits,
Timing classification of Digital Systems, Synchronous Design, Self-Timed Circuit Design .
Cross-coupled inverter pair is biased at point C. It is amplified and regenerated around the
circuit loop.
The bias point moves away from C until one of the operation points A or B is reached.
C is an unstable operation point. Every deviation causes the operation point to run away
from its original bias. Operation points with this property are termed as metastable.
A bistable circuit has two stable states. In absence of any triggering, the circuit remains in a
single state.
A trigger pulse must be applied to change the state of the circuit.
Common name for a bistable circuit is flip-flop.
3.1.2 SR Flip-Flops
The SR or set-reset flip-flop implementation is shown in Figure (a) below.
This circuit is similar to the cross-coupled inverter pair with NOR gates replacing the
inverters.
The second input of the NOR gateswww.EnggTree.com
is connected to the trigger inputs (S and R), that make it
possible to force the outputs Q and Qbar.
These outputs are complimentary (except for the SR = 11 state).
When both S and R are 0, the flip-flop is in a quiescent state and both outputs retain their
value.
If a positive (or 1) pulse is applied to the S input, the Q output is forced into the 1 state
(with Qbar going to 0).
Vice versa, a 1 pulse on R resets the flip-flop and the Q output goes to 0.
Figure 3.3
When both S and R are high, both Q and Qbar are forced to zero. This input mode is
considered to be forbidden.
An SR flip-flop can be implemented using a cross-coupled NAND structure as shown in
Figure 3.4
Clocked SR flip-flop:
Clocked SR flip-flop (a level-sensitive positive latch) is shown in Figure 3.5.
It consists of a cross-coupled inverter pair, plus 4 extra transistors to drive the flip-flop
from one state to another and to provide clocked operation.
Consider the case where Q is high and R pulse is applied.
The combination of transistors M4, M7, and M8 forms a ratioed inverter.
In order to make the latch switch, we must succeed in bringing Q below the switching
threshold of the inverter M1-M2.
Once this is achieved, the positive feedback causes the flip-flop to invert states. This
requirement forces to increase the sizes of transistors M5, M6, M7, and M8.
www.EnggTree.com
Figure 3.7 Transistor level implementation of a positive latch built using transmission gates.
To reduce the clock load, implement a multiplexer based NMOS latch using two pass
transistors as shown in Figure 3.8.
The advantage of this approach is the reduced clock load of only two NMOS devices.
When CLK is high, the latch samples the D input, while a low clock-signal enables the
feedback-loop and puts the latch in the hold mode.
Figure 3.8 Multiplexer based NMOS latch using NMOS only pass transistors for multiplexers.
Explain the operation of master-slave based edge triggered register. (May 2016)
Draw and explain the operation of conventional CMOS, pulsed and resettable latches.
(Nov 2012)
Discuss about CMOS register concept and design master slave triggered register, explain
its operation with overlapping periods. (April 2018, NOV 2018)
Realize a negative level sensitive latch using which realize an edge triggered master slave
D-Flip flop. Explain its working. (Nov 2019) [April / May 2023]
www.EnggTree.com
Figure 3.13: One solution for the leakage problem in low-voltage operation using MTCMOS.
******************************************************************************
Discuss about the design of sequential dynamic circuits. (Nov 2012, Nov 2017)
Explain the methodology of sequential circuit design of flip-flop. (May 2014)
A stored value remains valid as long as the supply voltage is applied to the circuit, hence
www.EnggTree.com
the name static.
The major disadvantage of the static gate is, its complexity.
Registers are used in computational structures that are constantly clocked, such as pipelined
data path.
The requirement that the memory should hold state for extended periods of time.
This results in circuits, based on temporary storage of charge on parasitic capacitors.
The principle is identical to the dynamic logic. In dynamic logic, logic signal is a charge,
stored on a capacitor.
The absence of charge denotes as logic 0 and presence of charge denotes as logic 1.
A stored value can be kept for a limited amount of time (range of milliseconds).
A periodic refresh of its value is necessary.
www.EnggTree.com
Explain the operation of True Single Phase Clocked Register. (Nov 2016, April 2017)
In the two-phase clocking schemes, care must be taken in routing the two clock signals to
ensure that overlap is minimized.
While the C2MOS provides a skew-tolerant solution, it is possible to design registers that
only use a single phase clock.
www.EnggTree.com
The True Single-Phase Clocked Register (TSPCR) uses a single clock without an inverse
clock.
Figure 3.19 shows positive and negative latch concept.
For the positive latch, when CLK is high, the latch is in the transparent mode and
propagates the input to the output. Latch has two cascaded inverters, so latch is non-
inverting.
When CLK = 0, both inverters are disabled and the latch is, in hold-mode.
Only the pull-up networks are still active, while the pull-down circuits are deactivated.
As a result of the dual-stage approach, no signal can ever propagate from the input to the
output.
For the negative latch, when CLK is low, the latch is in the transparent mode and
propagates the input to the output.
When CLK = 1, both inverters are disabled and the latch is in hold-mode.
A register can be constructed by cascading positive and negative latches.
The main advantage is the use of a single clock phase.
The disadvantage is, increase in the number of transistors (12 transistors are required).
www.EnggTree.com
Figure 3.20 Simplified TSPC latch (also called split-output).
******************************************************************************
Explain in detail about timing issues needed for a logic operation. (April 2017)
Explain the timing basics in synchronous design in detail. (Nov 2017)[April/May 2023]
Discuss the timing parameters that characterize the timing of sequential circuit. (NOV 2021)
(A)Sequencing methods:-
Three methods of sequencing block of combinational logic are possible, as shown in
figure below.
In flip-flop based system, one flip flop use one cycle boundary.
Token (data) advances from one cycle to the next on the rising edge. If a token arrives too
early, it waits at the flip flop until next cycle.
In 2-phase system, phases may be separated by tnonoverlap. [tnonoverlap>0]
In pulsed system, pulse with is tpw.
www.EnggTree.com
In 2-phase system, full cycle of combinational logic is divided into two phases,
sometimes called “half-cycles”. Two latch clocks are called 𝜑1 and 𝜑 2.
Flip flop can be viewed as, a pair of back to back latches using clk and its
complements.
Table shows delay and timing notations of combinational and sequencing elements.
These delays may differ for rising (with suffix ‘r’) and falling (with suffix ‘f’).
TERM NAME
Tpd logic propagation delay
Tcd logic contamination delay
Tpcq latch flop clock-Q propagation delay
Tccq latch flop clock- to Q contamination delay
Tpdq latch flop D –to Q propagation delay
Tcdq latch flop clock D to Q contamination delay
Tsetup latch flop setup time
Thold latch flop hold time
www.EnggTree.com
The output begins to change after a clock-to-contamination delay {tccq} and completely
settles after clock to-Q propagation delay {tpcq}.
𝑇𝑐
𝑡𝑏𝑜𝑟𝑟𝑜𝑤 ≤ − (𝑡𝑠𝑒𝑡𝑢𝑝 − 𝑡𝑛𝑜𝑛𝑜𝑣𝑒𝑟𝑙𝑎𝑝)
2
(E) Clock Skew
Analyze the impact of spatial variations of clock signal on edge-triggered sequential logic
circuits. (NOV 2018)
The spatial variation in arrivalwww.EnggTree.com
time of a clock transition in an integrated circuit is referred
as clock skew.
The clock skew between two points i and j on a IC is given by δ(i,j)=ti-tj, where ti and tj are
the position of the rising edge of the clock with respect to a reference.
The clock skew can be positive or negative, depending upon the routing direction and
position of the clock source.
The timing diagram for the case with positive skew, is shown in figure.
In the figure, the rising clock edge is delayed by a positive δ at the second register.
Figure: Timing diagram to study the impact of clock skew on performance and
functionality. In this sample timing diagram, δ >0.
(F) Clock Jitter:
Clock jitter is the temporal variation of the clock period at a given point. The clock period
can reduce or expand on a cycle-by-cycle basis. It is a temporal uncertainty measure.
Cycle-to-cycle jitter refers to time varying deviation of a single clock period.
For a given spatial location, i is given as Tjitter, i(n) = Ti,n+1 – Ti,n – TCLK.
Explain in detail about pipelining structure needed for a logic operation. (April 2017, Nov 2017)
Discuss in detail various pipelining approaches to optimize sequential circuits. (May 2013, 2016,
May 2021)[Apr/May 2022] [April / May 2023]
www.EnggTree.com
Pipelining is a design technique used to accelerate the operation of the datapaths in digital
processors.
The idea is explained with Figure 3.22a.
The goal of the circuit is to compute log(|a - b|), where both a and b represent streams of
numbers.
The minimal clock period Tmin necessary to ensure correct evaluation is given as:
Tmin tcq t pd ,logic tsu
Where, tc-q and tsu are the propagation delay and the set-up time of the register respectively.
Registers are edge-triggered D registers.
The term tpd,logic stands for the worst-case delay path through the combinatorial network,
which consists of the adder, absolute value and logarithm functions.
In conventional systems, the delay is larger than the delays associated with the registers and
dominates the circuit performance.
Assume that each logic module has an equal propagation delay.
Each logic module is then, active for only 1/3 of the clock period.
Pipelining is a technique to improve the resource utilization and increase the functional
throughput.
Introduce registers between the logic blocks, as shown in Figure 3.22b.
The latch-based pipeline circuit can also be implemented using C2MOS latches, as shown
in Figure 3.24.
This topology has one additional property:
A C2MOS-based pipelined circuit is race-free as long as all the logic functions F
(implemented using static logic) between the latches are noninverting.
Figure 3.25 Potential race condition during (0-0) overlap in C2MOS-based design.
Logic and latch are clocked, in such a way that both are simultaneously in either evaluation
or hold (precharge) mode.
A block that is, in evaluation during CLK = 1 is called a CLK-module, while the inverse is
called a CLK -module.
The operation modes of the modules are summarized in Table 2.
Choosing the right clocking scheme affects the functionality, speed and power of a circuit.
The simple clocking scheme is the two-phase master-slave design.
The predominant approach is use the multiplexer-based register and to generate the two
clock phases locally, by simply inverting the clock.
High-performance CMOS VLSI design is using simple clocking schemes, even at the
expense of performance.
Flip-flop: Flip-flop has high sequencing overhead. It is simple and easy to understand the
operation of flip-flop.
Pulsed latches:-
Faster than flip-flop.
Provides some time borrowing option.
Consumes law power.
Transparent Latch:- www.EnggTree.com
It has low sequencing overhead compared with flip-flop.
It allows almost half cycle of time borrowing and it is good choice.
******************************************************************************
www.EnggTree.com
******************************************************************************
Explain the clock distribution techniques in synchronous design in detail. (Nov 2017)
Design a clock distribution network based on H tree model for 16 nodes. (April 2018)
Clock skew and jitter are major issues in digital circuits and they limit the performance of a
digital system.
It is necessary to design a clock network, that minimizes skew and jitter.
Another important consideration in clock distribution is the power dissipation.
In most high-speed digital processors, a majority of the power is dissipated in the clock
network.
To reduce power dissipation, clock networks must support clock conditioning, the ability to
shut down parts of the clock network.
Unfortunately, clock gating results in additional clock uncertainty.
Fabrics for clocking:
Clock networks include a network that is used to distribute a global reference to various
parts of the chip.
A final stage is responsible for local distribution of the clock, while considering the local
load variations.
Most clock distribution schemes www.EnggTree.com
use the absolute delay from a central clock source to the
clocking elements.
Therefore one common approach to distributing a clock is, to use balanced paths (or called
trees).
The most common type of clock primitive is, the H-tree network (named for the physical
structure of the network) in figure, where a 4x4 array is shown.
In this scheme, the clock is routed to a central point on the chip and balanced paths.
Include both matched interconnect as well as buffers, are used to distribute the reference to
various leaf nodes.
If each path is balanced, the clock skew is zero. It takes multiple clock cycles for a signal to
propagate from the central point to each leaf node. The arrival times are equal at every leaf
node.
The H-tree configuration is particularly useful for regular-array networks, in which all
elements are identical and the clock can be distributed as a binary tree.
Latch-Based Clocking:
The use of a latch based methodology (in Figure) enables more flexible timing, allowing
one stage to pass slack to or steal time from following stages.
This flexibility allows an overall performance increase.
In this configuration, a stable input is available to the combinational logic block A
(CLB_A) on the falling edge of CLK1 (at edge2).
On the falling edge of CLK2 (at edge3), the output CLB_A is latched and the computation
of CLK_B is launched.
CLB_B computes on the low phase of CLK2 and the output is available on the falling edge
of CLK1 (at edge4).
This timing appears, equivalent to having an edge-triggered system where CLB_A and
CLB_B are cascaded and between two edge-triggered registers.
www.EnggTree.com
In both cases, it appears that the time available to perform the combination of CLB_A and
CLB_B are TCLK.
Figure: Latch-based design in which transparent latches are separated by combinational logic.
******************************************************************************
A more reliable and robust technique is the self-timed approach, which presents a local
solution to the timing problem.
Figure uses a pipelined datapath to illustrate how this can be accomplished.
The computation of a logic block is initiated by asserting a Start signal.
The combinational logic block computes on the input data.
This signaling ensures the logical ordering of the events and can be achieved with the aid
of an extra Ack(nowledge) and Req(uest) signal.
www.EnggTree.com
Figure: Self-timed, pipelined datapath.
In the case of the pipelined datapath, the scenario could proceed as follows.
1. An input word arrives, and a Req(uest) to the block F1 is raised. If F1 is inactive at that time,
it transfers the data and acknowledges this fact to the input buffer.
2. F1 is enabled by raising the Start signal. After a certain amount of time, dependent upon the
data values, the Done signal goes high indicating the completion of the computation.
3. A Req(uest) is issued to the F2 module. If this function is free, an Ack(nowledge) is raised, the
output value is transferred and F1 can go ahead with its next computation.
3.8.4 Arbiter
The arbiter of Figure (a) is related to the synchronizer. It determines which of two inputs
arrived first.
If the spacing between the inputs exceeds some aperture time, the first input should be
acknowledged.
If the spacing is smaller, exactly one of the two inputs should be acknowledged, but the
choice is arbitrary.
For example, in a television game show, two contestants may hit buttons to answer a
question.
If one presses the button first, should be acknowledged. If both presses the button at
times too close to distinguishes, the host may choose one of the two contestants
arbitrarily.
www.EnggTree.com
Figure: Arbiter
Figure (b) shows an arbiter built from an SR latch and a four-transistor metastability
filter.
If one of the request inputs arrives well before the other, the latch will respond
appropriately.
If they arrive at nearly the same time, the latch may be driven into metastability, as
shown in Figure (c).
The filter keeps both acknowledge signals low, until the voltage difference between the
internal nodes n1 and n2 exceeds Vt , indicating that a decision has been made.
Such an asynchronous arbiter will never produce metastable outputs.
Design the pulse registers suitable for sequential CMOS circuits. [May 2021][Nov/Dec 2022]
Figure b shows an example circuit for constructing a short intentional glitch on each
rising edge of the clock.
When CLK = 0, node X is charged up to VDD (MN is off since CLKG is low).
On the rising edge of the clock, there is a short period of time when both inputs of the
AND gate are high, causing CLKG to go high.
Waveform
If set-up time and hold time are measured in reference to the rising edge of the glitch
clock, the set-up time is essentially zero, the hold time is equal to the length of the pulse
(if the contamination delay is zero for the gates), and the propagation delay (tc-q) equals
two gate delays.
Advantage
The reduced clock load and the small number of transistors required.
www.EnggTree.com
The glitch-generation circuitry can be amortized over multiple register bits.
Disadvantage
Write short notes on Sense – Amplifier Based Registers. [Nov/Dec 2022][April/May 2023]
The circuit uses a precharged front-end amplifier that samples the differential input signal
on the rising edge of the clock signal.
The outputs of front-end are fed into a NAND cross- coupled SR FF that holds the data
www.EnggTree.com
and guarantees that the differential outputs switch only once per clock cycle.
The differential inputs in this implementation don’t have to have rail-to-rail swing and
hence this register can be used as a receiver for a reduced swing differential bus.
Operation
The core of the front-end consists of a cross-coupled inverter (M5-M8) whose outputs
(L1 and L2) are precharged using devices M9 and M10 during the low phase of the clock.
As a result, PMOS transistors M7 and M8 to be turned off and the NAND FF is holding
its previous state.
Transistor M1 is similar to an evaluate switch in dynamic circuits and is turned off
ensuring that the differential inputs don’t affect the output during the low phase of the
clock.
On the rising edge of the clock, the evaluate transistor turns on and the differential input
pair (M2 and M3) is enabled, and the difference between the input signals is amplified on
the output nodes on L1 and L2.
The cross-coupled inverter pair flips to one of its the stable states based on the value of
the inputs.
For example, if IN is 1, L1 is pulled to 0, and L2 remains at VDD. Due to the amplifying
properties of the input stage, it is not necessary for the input to swing all the way up to
VDD and enables the use of low swing signaling on the input wires.
www.EnggTree.com
1. It responds to a slowly changing input waveform with a fast transition time at the
output.
2. The voltage-transfer characteristic of the device displays different switching thresholds
for positive- and negative-going input signals.
CMOS Implementation
Increasing kn/kp ratio decreases the logical switching threshold
If V in =0 the V out (connected to M 4 ) is also zero So effectively the input is connected to
M 2 and M 4 in parallel This increases kp and the switching threshold
Explain about Monostable and Astable Sequential Circuits. [Apr/May 2022] [Nov/Dec 2022]
Sketch and explain the Monostable sequential circuits based on CMOS logic. (May 2021)
[April / May 2023]
www.EnggTree.com
www.EnggTree.com
UNIT – III
SEQUENTIAL LOGIC CIRCUITS AND CLOCKING STRATEGIES
1. What is a Sequential circuit?
In sequential circuits, the output depends on previous as well as current inputs.
3. What is meant by maximum delay or setup time failure and how to avoid?
If the combinational logic delay is too high, the receiving element will miss its setup
time and sample the wrong value. This is called a setup time failure or max-delay failure. Max-
delay can be solved by redesigning the logic to be faster or by increasing the clock period.
7. Define clock skew. (April 2018, April 2019, NOV 2021)[Apr/May 2022]
Clocks have some uncertainty in their arrival times that can cut into the time available for
useful computation.
12. What is meant by True Single Phase Clock (TSPC) Latch or flip-flop?
True Single Phase clock Latch or flipflop avoids complementary clock pulse.
17. What is meant by Bistability and metastability? (NOV 2021) [Apr/May 2022]
A latch is a bistable device. i.e., it has two stable states (0 and 1). Latch can enter a
metastable state in which the output is at an indeterminate level between 0 and 1.
21. What are the advantages of differential Flip flop? (Nov 2011)
The advantages of differential Flip flops are
a. Reduce the parasitic delay of the pull down networks.
b. Lower electric fields across the pull down networks.
c. It reduces the channel length of the transistors.
www.EnggTree.com
22. State the reasons for the speed advantages of CVSL family. (Nov 2012, Nov 2014)
CVSL has a potential speed advantage because all of the logic is performed with nMOS
transistors, thus reducing the input capacitance.
24. What are the disadvantages of using a pseudo nMOS gate instead of a full CMOS gate?
(May 2012)
Ratioed circuits dissipate power continually in certain states and have poor noise margin
than complementary circuits. Ratioed circuits used in situations where smaller area is needed.
27. Draw the circuit diagram of a CMOS bistable element and its time domain behavior.
(APRIL/MAY-2011)
36. What is the advantage and disadvantage of True Single-phase clocked register?
The main advantage is the use of a single clock phase. The disadvantage is the increase
the number of transistors. 12 transistors are required.
www.EnggTree.com
41. Define the dynamic-logic rule.
Inputs to a dynamic CLKn (CLKp) block are only allowed to make a single 01 (1 0)
transition during the evaluation period.
The hold time is the interval after the clock where the data must be held stable. Hold time
can be negative, which means the data can change slightly before the clock edge and still be
properly captured. Most of the current day flip-flops has zero or negative hold time.
www.EnggTree.com
49. Draw the circuit and wave form for Pulse Registers.
www.EnggTree.com
57. Define Astable sequential circuits. Draw the circuit also. [April / May 2023]
An astable circuit has no stable states.
The output oscillates back and forth between two quasi-stable states with a period
determined by the circuit topology and parameters (delay, power supply, etc.).
One of the main applications of oscillators is the on-chip generation of clock signals.
www.EnggTree.com
Data path circuits are meant for passing the data from one segment to other segment for
processing or storing.
The datapath is the core of processors, where all computations are performed.
It is generally defined with general digital processor. It is shown in figure.
www.EnggTree.com
Figure: General digital processor
If only data path and its communication is shown as
In this, data is applied at one port and data output is obtained at second port.
Data path block consists of arithmetic operation, logical operation, shift operation and
temporary storage of operands.
Datapaths are arranged in a bit sliced organization.
Instead of operating on single bit digital signals, the data in a processor are arranged in a
word based fashion.
Bit slices are either identical or resemble a similar structure for all bits.
1
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
The datapath consists of the number of bit slices (equal to the word length), each
operating on a single bit. Hence the term is bit-sliced.
Draw the structure of ripple carry adder and explain its operation. (Nov 2017)
Explain the operation of a basic 4 bit adder. (Nov 2016)
Realize a 1-bit adder using static CMOS logic. Optimize the Boolean expressions of
sum and carryout and realize a 1-bit adder using static CMOS logic. Also realize a 1-
bit adder using transmission gate. Compare all the three cases from hardware
perspective. (Nov 2019)
www.EnggTree.com
Architecture of Ripple Carry Adder:
AOI Full adder circuit (AND OR INVERT)
An AOI algorithm for static CMOS logic circuit can be obtained by using the equation.
Ci 1 ai bi ci .(ai bi )
Si (ai bi ci )ci (ai .bi .ci )
2
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
Ci= Carry bit from the previous column.
N bit ripple carry adder needs n full adders with Ci+1 carry out bit.
3
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
In ripple carry adder, carry bit is calculated along with the sum bit. Each bit must wait for
calculation of previous carry.
VDD
VDD
Ci A B
A B
A
B
Ci B
VDD
A
X
Ci
Ci A S
Ci
A B B VDD
A B Ci A
Co B
Explain the operation and design of Carry lookahead adder (CLA). (May 2017, Nov
2016)[Apr/May 2022] [Nov/Dec 2022]
How the drawback in ripple carry adder overcome by carry look ahead adder and
www.EnggTree.com
discuss. (Nov 2017)
Explain the concept of carry lookahead adder and discuss its types. (April 2018)
Derive the necessary expressions of a 4 bit carry look ahead adder and realize the carry
out expressions using dynamic CMOS logic. (April 2019-13M)
4
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
Combining these calculated values to be able to realize quickly whether, for each group
of digits, that group is going to propagate a carry.
Theory of operation:
Carry lookahead logic uses the concept of generating and propagating carry.
The addition of two 1-digit inputs A and B is said to generate if the addition will carry,
regardless of whether there is an input carry.
Generate:
In binary addition, A + B generates if and only if both A and B are 1.
If we write G(A,B) to represent the binary predicate that is true if and only if A + B
generates, we have:
G(A,B) = A . B
Propagate:
The addition of two 1-digit inputs A and B is said to propagate if the addition will carry
whenever there is an input carry.
In binary addition, A + B propagates if and only if at least one of A or B is 1.
If we write P(A,B) to represent the binary predicate that is true if and only if A + B
propagates, we have:
P( A, B) A B
These adders are used to overcome the latency which is introduced by the rippling effect of
carry bits.
Write carry look-ahead expressions in terms of the generate gi and propagate pi signals. The
www.EnggTree.com
general form of carry signal ci thus becomes
ci 1 ai .bi ci .(ai bi ) gi ci .pi
If ai .b =1, then ci 1 1, write generate term as, gi ai .bi
Write the propagate term as, pi ai bi
Sum and carry expression are written as,
Si = ai bi
c1=g0+p0.c0
c2=g1+p1.c1= g1+p1.(g0+p0.c0)
c3=g2+p2.c2
c4=g3+p3.c3 =g3+p3.g2+ p3.p2.g1+ p3.p2.p1.g0 + p3.p2.p1.p0.c0
5
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
Figure:Symbol and truth table of generate & propagate
www.EnggTree.com
6
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
******************************************************************************
7
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
The Manchester carry chain is a variation of the carry-lookaheadadder that uses shared logic
to lower the transistor count.
A Manchester carry chain generates the intermediate carries by tapping off nodes in the gate
that calculates the most significant carry value.
Dynamic logic can support shared logic, as transmission gate logic.
One of the major drawbacksof the Manchester carry chain is increase the propagation delay.
A Manchester-carry-chain section generally won't exceed 4 bits.
In this adder, the basic equation is ci 1 gi ci .pi
Where pi ai bi and gi ai .bi
Carry kill bit ki ai bi = ai .bi
If Ki=1, then pi=0 and gi=0. Hence, ki is known as carry kill bit.
www.EnggTree.com
Table
8
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
******************************************************************************
4.4.1. HIGH SPEED ADDERS:
9
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
Design a carry bypass adder and discuss its features. (May 2016)
Explain the carry-propagate adder and show how the generation and propagation
signals are framed. [May 2021]
It is high speed adder. It consist of adder, AND gate and OR gate.
An incoming carry Ci,0=1 propagates through the complete adder chain and an outgoing
carry C0,3=1.
In other words, if (P0P1P2P3 =1) then C0,3= Ci,0 else either DELETE or GENERATE
occurred.
It can be used to speed up the operation of the adder, as shown in below fig (b).
10
10
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
Bit 0–3 Bit 4–7 Bit 8–11 Bit 12–15
Setup tsetup Setup Setup Setup
tbypass
M bits
11
11
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
Two 4-bit ripple carry adders are multiplexed together, where the resulting carry and sum
bits are selected by the carry-in.
www.EnggTree.com
12
12
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
www.EnggTree.com
13
13
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
14
14
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
www.EnggTree.com
4.6. MULTIPLIERS:
Explain the design and operation of 4 x 4 multiplier circuit. (Apr. 2016, 2017, Nov 2016, 2018)
Design a multiplier for 5 bit by 3 bit. Explain its operation and summarize the numbers of
adders. Discuss it over Wallace multiplier. (Nov 2017, April 2018)
Design a 4 bit unsigned array multiplier and analyze its hardware complexity. (April 2019-
13M) (Nov 2019)
Describe the hardware architecture of a 4-bit signed array multiplier. [Nov/Dec 2022]
15
15
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
A study of computer arithmetic processes will reveal that the most common requirements
are for addition and subtraction.
There is also a significant need for a multiplication capability.
Basic operations in multiplication are given below.
0x0=0, 0x1=0, 1x0=0, 1x1=1
1 0 1 0 1 0 Multiplicand
x 1 0 1 1 Multiplier
1 0 1 0 1 0
1 0 1 0 1 0
0 0 0 0 0 0 Partial products
+ 1 0 1 0 1 0
1 1 1 0 0 1 1 1 0 Result
If two different 4-bit numbers (x0, x1, x2, x3& y0, y1, y2, y3)are multiplied then
www.EnggTree.com
16
16
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
Multiplication by shifting:
If x=(0010)2 = (2)10
If it is to be multiplied by 2, then we can shift x in left side. x = (0100)2 = (4)10
If it is to be divided by 2, then we can shift in right side. x = (0001)2 = (1)10.
So, shift register can be used for multiplication or division by 2.
17
17
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
X3 X2 X1 X0 Y1 Z0
HA FA FA HA
X3 X2 X1 X0 Y2 Z1
FA FA FA HA
X3 X2 X1 X0 Y3 Z2
FA FA FA HA
Z7 Z6 Z5 Z4 Z3
Figure: 4 x 4 array multiplier using Fulladder, Halfadder and AND gate.
18
18
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
(iv) Booth (encoding) multiplier:
Booth’s algorithm is an efficient hardware implementation of a digital circuit that
multiplies two binary numbers in two’s complement notation.
Booth multiplication is a fastest technique that allows for smaller, faster multiplication
circuits, by recoding the numbers that are multiplied.
The Booths multipliers widely used in ASIC oriented products due to the higher
computing speed and smaller area.
In the binary number system, the digits called bits are to the set of {0,1}.
The result of multiplying any binary number by binary bit is either 0 or original number.
This makes the formation of partial products are more efficient and simple.
Then adding all these partial products is time consuming task for any binary multipliers.
The entire process consists of three steps partial product generation, partial product
reduction and addition of partial products as shown in figure.
www.EnggTree.com
But in booth multiplication, partial product generation is done based on recoding scheme
e.g. radix 2 encoding.
Bits of multiplicand (Y) are grouped from left to right and corresponding operation on
multiplier (X) is done in order to generate the partial product.
In radix-2 booth multiplication partial product generation is done based on encoding
which is as given by Table.
19
19
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
RADIX-2 PROCEDURE:
1) Add 0 to the LSB of the multiplier and make the pairing of 2 from the right to the left
which shown in the figure.
With suitable example and with detailed steps explain Radix-4 modified booth encoding for
an 8-bit signed multiplier. (Nov 2019)
These group of binary digits are according to the Modified Booth Encoding Table and it
is one of the numbers from the set of (-2,2,0,1,-1).
20
20
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
www.EnggTree.com
21
21
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
www.EnggTree.com
22
22
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
(b) If there are two wires of the same weight left, input them into a half adder.
(c) If there is just one wire left and connects it to next layer.
The Wallace tree multiplier output structure is tree basis style. It reduces the number of
components and reduces the area.
The architecture of a 4 x4 Wallace tree multiplier is shown in figure.
Apply radix-2 booth encoding to realize a 4-bit signed multiplier for (-10)*(-11).
(April 2019-15M) [Apr/May 2022][Nov/Dec 2022]
Solution: www.EnggTree.com
M= -10 =0110, Q= -11 =0101
A Q Q-1
Step-I: 0000 0101 0 :last 2 bits are10; A=A-M
1010 0101 0 : shift right
1101 0010 1
Step-II: 0011 0010 1 :last 2 bits are 01; A=A+M
0001 1001 0 :shift right
Step-III: 1011 1001 0 :last 2 bits are10; A=A-M
1101 1100 1 ;shift right
Step-IV: 1101 1100 1 ;last 2 bits are 01; A=A+M
0110 1110 0 ;shift right
****************************************************************************
4.7. DIVIDERS
There are two types of dividers, Serial divider and Parallel divider. Serial divider is slow
and parallel divider is fast in performance.
23
23
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
Generally division is done by repeated subtraction. If 10/3 is to be performed then,
10 -3 =7, ( divisor is 3, dividend is 10)
7 – 3 = 4,
4–3=1
Here, repeated subtraction has been done, after 3 subtractions, the remainder is 1. It is
less than divisor. So now the subtraction is stopped.
Let see the example of binary division with use of 1’s complement method
1010 (10d) / 0011 (3d)
Step1: find 1’s complement of divisor
Step2: add this with the dividend
Step3: if carry is 1, then it is added with the output to get the difference output
Step4: the same procedure is repeated until we are get carry 0.
Step5: then the process is stopped.
1 0 1 0 (10)
www.EnggTree.com
24
24
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
25
25
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
******************************************************************************
4.8. SHIFT REGISTERS:
www.EnggTree.com
Design 4 input and 4 output barrel shifter using NMOS logic. (NOV 2018, Nov 2019).
List the several commonly used shifters. Design the shifter that can perform all the
commonly used shifters. [May 2021, NOV 2021]
Elaborate in detail the design of a 4-bit barrel shifter. [Nov/Dec 2022]
An n-bit rotation is specified by using the control word R0-n and L/R bit defines a left or right
shifting.
For example y3 y 2 y 1 y 0 = a3 a2 a1 a0
If it is rotated 1-bit in left side, we get y3 y 2 y 1 y 0 = a2 a1 a0a3
If it is rotated 1-bit in right side, we get y3 y 2 y 1 y 0 = a0 a3 a2 a1
Barrel Shifter:
A barrel shifter is a digital circuit that can shift a data word by a specified number of bits in
oneclock cycle.
26
26
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
It can be implemented as a sequence of multiplexers(MUX), and in such an implementation
the output of one MUX is connected to the input of the next MUX in a way that depends on
the shift distance.
For example, take a four-bit barrel shifter, with inputs A, B, C and D. The shifter can cycle the
order of the bits ABCD as DABC, CDAB, or BCDA; in this case, no bits are lost.
That is, it can shift all of the outputs up to three positions to the right (thus make any cyclic
combination of A, B, C and D).
The barrel shifter has a variety of applications, including being a useful component in
microprocessors (alongside the ALU).
27
27
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
For a floating-point add or subtract operation, requires shifting the smaller number to the
right, increasing its exponent, until it matches the exponent of the larger number.
This is done by using the barrel shifter to shift the smaller number to the right by the
difference, in one cycle.
If a simple shifter were used, shifting by n bit positions would require n clock cycles.
The disadvantages of FET array barrel shifter are the threshold voltage drop problem, parasitic
limited switching time problem.
The figure shown is known as a barrel shifter and a 8 x 4-bit barrel shifter circuit.
Logarithmic Shifter:
A Shifter with a maximum shift width of M consists of a log 2M stages, where the ith stage
either shifts over 2i or passes the data unchanged.
Maximum shift value of seven bits is shown in figure, to shift over five bits, the first stage is
set to shift mode, the second to pass mode and the last again to shift.
The speed of the logarithmic shifter depends on the shift width in a logarithmic wa, M-bit
shifter requires log2M stages.
The series connection of pass transistors slows the shifter down for larger shift values.
Advantage of logarithmic shifter is more effective for larger shift values in terms of both area
and speed.
www.EnggTree.com
******************************************************************************
4.9. SPEED AND AREA TRADE OFF:
Discuss the details about speed and area trade off. (May 2017)
Discuss trade-off between speed Vs area. [Nov/Dec 2022]
Adder:
The tradeoff in terms of power and performance is shown below.
The performance is represented in terms of the delay(speed).
The area estimations for each of the delays are given based on the fact that area is in
relation to the power consumption.
The area of a carry lookahead adder is larger than the area of a ripple carry for a
particular delay.
28
28
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
This is because the computations performed in a carry lookahead adder are parallel,
which requires a larger number of gates and also results in a larger area.
CLA –Carry Lookahead Adder, RC, R – Ripple carry adder
Figure: Area Vs Delay for 8 bit adder Figure: Area Vs Delay for 16 bit adder
Figure: Delay Vs Area for all adders Figure: Area Vs Delay for all multiplier
Above figures shows that the delay of the ripple carry adder increases much faster when
compared to the carry lookahead adder as the number of bits is increased.
In the carry lookahead adder, the cost is in terms of the area because computations are in
parallel, and therefore more power is consumed for a specific delay.
29
29
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
30
30
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
31
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
2. Power saving is good, because blocks not activated are in power saving mode.
32
32
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
Programming ROM
The transistor in the intersection of row and column is OFF when the associated word line is
LOW. In this condition, we get logic 1 output.
www.EnggTree.com
33
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
In floating gate transistor, extra polysilicon strip is used in between the gate and the channel
known as floating gate.
Floating gate doubles the gate oxides thickness and hence device transconductance is reduced
and threshold voltage is increased.
The threshold voltage is a programmable.
If high voltage is (>10V) is applied between the source terminals and gate-drain terminals,
then high electric field is generated. So, avalanche injection occurs.
After acquiring energy, electron becomes hot and transverse through the first oxide insulator .
They get trapped on the floated gate.
The floating gate transistor is known as floating gate avalanche injection MOS or FAMOS.
Disadvantage: High programming voltage is need.
EEPROM – E2PROM:
Electrically Erasable Programmable ROM. Here Floating gate tunneling oxide (FLOTOX) is
used.
It is similar to floating gate except that the portion of the floating gate is separated from the
channel at the thickness of 10nm or <10nm.
If 10V is applied, electron travels to and from the floating gate through Fowler-Nordheim
tunneling.
Erasing can be done by revering applied voltage which is used for writing.
34
34
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
www.EnggTree.com
Figure: ETOX device
It is similar to FAMOS gate.
A very thin tunneling oxide layer (10nm thickness) is there.
Erasing operation: Erasing can be performed when gate is connected to the ground and the
source is connected to 12V.
Write operation: High voltage pulse is applied to the gate of the selected device. Logic 1 is
applied to the drain and hot electrons are injected into the floating gate.
Read operation:To select a cell, its word line is connected to 5V. It causes conditional
discharge of the bit line.
Figure: (a) Erase (b) Write (c) Read operation of NOR flash memory
35
35
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
4.10.3.3 RAM – Random Access Memory
Explain about static and dynamic RAM.
Construct 6T based SRAM cell. Explain its read and write operations. (NOV 2018)
[Nov/Dec 2022]
4.10.3.3.1Static RAM:
SRAM cell needs 6 transistors per bit.
M5 and M6 transistors are shared between read and write operations.
Bit line(BL) and inverse Bit Line signals are used to improve the noise margin during read
and write operations.
Read operation:
Let us assume logic 1 is stored at Q and BL and inverse BL are precharge to 2.5V before
starting read operation.
The read cycle is started by asserting word line then M5 and M6 transistors are enabled.
After the small initial word line delay then the values stored at Q and inverse Q are transferred
to the bit lines by leaving BL at 2.5V and the value at inverse Q is discharge through M1, M5.
www.EnggTree.com
36
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
www.EnggTree.com
37
37
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
In the compare mode, stored data are compared using bit line. The match line is
connected to all CAM blocks in a row. And it is initially precharged to V DD.
If there is some match occurs, then internal row is discharged. If even one bit in a row is
mismatched, then the match line is low.
*****************************************************************************
Column Decoder
It should matchthebitlinepitchofthememory array.
38
38
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
In column decoder, decoder outputs are connected to nMOS pass transistors.
By using this circuit, we can selectively drive one out of m pass transistors.
Only one nMOS pass transistor is ON at the time.
www.EnggTree.com
Amplification:
In memory structures such as the 1TDRAM, amplification is required for proper
functionality.
Delay Reduction:
The amplifier compensates for the fan-out driving capability of the memory cell by
detecting and amplifying small transitions on the bit line to large signal output
swings.
Power reduction:
Reducing the signal swing on the bit lines can eliminate large part of the power
dissipation related to charging a nd discharging the bit lines.
(iii) Drivers/ Buffers
The length of word and bit lines increases with increasing memory sizes.
Large portion o f the read and write access time can be attributed t o the
wire delays.
A major part of the memory-periphery area is allocated to the drivers (address
buffers and I/O drivers).
******************************************************************************
39
39
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
4.12: Low Power Memory design:
Discuss about Low power memory design. [Apr/May 2022]
Elucidate in detail low power SRAM circuit. (April 2019-13M) (Nov 2019)
Figure: (a) Insertion of low threshold device (b) Reducing supply Voltage
******************************************************************************
40
40
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
Programmable devices (Programmable ASIC):
Programmable devices can be divided into three areas
1. Programmable logic structure
2. Programmable interconnect
3. Reprogrammable Gate array
A programmable logic device (PLD) is an electronic component used to build
reconfigurable digital circuits.
Unlike a logic gate, which has a fixed function, a PLD has an undefined function at the
time of manufacture.
1. Programmable Logic Structure:
Describe in detail the chip with programmable logic structures. (Nov 2009)
(a) Programmable Logic Array:
Programmable logic arrays (PLAs) is a type of fixed architecture logic devices with
programmable AND gates followed by programmable OR array.
Logic array is the structure unit which can be programmed to perform various functions.
Programmable Logic Array (PLA) can be implemented as AND-OR plane devices.
Structure of AND-OR PLA is shown below.
41
41
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
Figure: PLA with three inputs, four product terms and two outputs
(b) PAL (Programmable Array Logic) Architecture:
The PAL is a programmable logic device with a fixed OR array and a programmable
AND array.
www.EnggTree.com
Figure: Programmable Array Logic
Because only the AND gates are programmable, the PAL is easier to program than
but is not as flexible as the PLA.
The PAL is a programmable logic device with a fixed OR array and a programmable
AND array.
42
42
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
www.EnggTree.com
43
43
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
Discuss the different types of programming technology used in FPGA design. (NOV 2016)
Draw and explain the operation of metal-metal antifuse and EPROM transistor. (June 2012)
44
44
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
ANTIFUSE:
In FPGA, the device is programmed by changing the characteristic of switching element
(or) we can write the program for routing.
Programming routing can be explained by using the product of ACTEL, Quick Logic
Companies etc.
In ACTEL, interconnect is done by PLICE (or) Antifuse.
PLICE means Programmable Low Impedance Circuit Element.
Antifuse is high resistance (>100MΩ) is changed into low resistance (200-500Ω) by
applying programming voltage.
It consists of ONO (Oxide-Nitride-Oxide) layer which is sandwiched between
polysilicon layer and n+ diffusion.
Antifuses separate interconnect wires on the FPGA chip and the programmer blows an
antifuse to make a permanent connection.
Once an antifuse is programmed, the process can’t be reversed. This is an OTP
Technology.
www.EnggTree.com
45
45
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
Figure: Metal-metal anti-fuse
Advantages of metal-metal antifuse:
Advantages of metal-metal antifuse over poly diffusion antifuse are:
1. The connections are direct to metal wiring layers.
2. It is easier to use larger programming currents to reduce the antifuse resistance.
UV-Erasable programming:
Find the reason for referring EPROM technology as floating gate avalanche MOS. (Dec. 2013)
EPROM programming:
In this type floating gate transistor is used.
We can reprogram by using UV-light.
High electric field causes electrons flowing towards drain to move across the insulating
gate oxide, where they trapped on the bottom, floating gate.
These energetic electrons are HOT and this effect is known as Hot-electron injection (or)
avalanche injection.
EPROM technology is sometimes called floating –gate avalanche MOS (FAMOS).
www.EnggTree.com
Figure: EPROM transistor
(a) With a high (>12V) programming voltage, VPP applied to the drain. Electrons gain
enough energy to jump onto the floating gate (gate1).
(b) Electrons stuck on gate1 raise the threshold voltage so that the transistor is always off
for normal operating voltages.
(c) Ultraviolet light provides enough energy for electrons stuck on gate1 to jump back to
the bulk, allowing the transistor to operate normally.
EEPROM programming:
Electrically Erasable programming is most popular CMOS technology.
A very thin oxide between floating gate and the drain allow the electrons to tunnel to or
from the floating gate (gate is charged or discharged).
Thus enabling writing and erasing operation.
Advantages:
The advantages of EEPROM technology are:
faster than using a UV lamp
chips do not have to be removed from the system
if the system contains circuits to generate both program and erase voltages, it may
use ISP
46
46
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
SRAM Programming
SRAM programming is shown in figure.
SRAM configuration cell is constructed from two cross-coupled inverters and uses a
standard CMOS process.
The configuration cell drives the gates of other transistors on the chip (using pass
transistors or transmission gates) to make a connection or off to break a connection.
The cell is programmed using the WRITE and DATA lines.
47
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
The basic cell structure for FPGA is complicated than the basic cell structure of standard
gate array.
The programmable logic blocks of FPGA are called Configurable Logic Block (CLB).
The FPGA architecture consists of three types of configurable elements-
(i) IOBs –Input/output blocks
(ii) CLBs- Configurable logic blocks
(iii) Resources for interconnection
The IOBs provide a programmable interface between the internal, array of logic blocks
(CLBs) and the device’s external package pins.
CLBs perform user-specified logic functions.
The interconnect resources carry signals among the blocks.
A configurable program stored in internal static memory cells.
Configurable program determines the logic functions and the interconnections.
The configurable data is loaded into the device during power-up reprogramming function.
FPGA devices are customized by loading configuration data into internal memory cells.
1.Logic blocks
Based on memories (Flip-flop & LUT – Lookup Table) Xilinx
Based on multiplexers (Multiplexers)-Actel
www.EnggTree.com
Based on PAL/PLA - Altera
Transistor Pairs
2. Interconnection Resources
Symmetrical FPGA-s
Row-based FPGA-s
Sea-of-gates type of FPGA-s
Hierarchical FPGA-s (CPLD)
3. Input-output cells (I/O Cell)
Possibilities for programming :
a. Input
b. Output
c. Bidirectional
48
48
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
RE-PROGRAMMABLE DEVICE ARCHITECTURE:
www.EnggTree.com
49
49
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
Interconnection resources:
50
50
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
When the output enable, OE is ‘1’ the output section is enabled and drives the I/O pad.
When OE is ‘0’ the output buffer is placed in a high-impedance state.
Give short notes on FPGA interconnect routing procedures. (May 2016, May 2021)
www.EnggTree.com
Describe FPGA interconnect routing resources with neat diagram. (April 2019-13M)
Give a note on standard cell design and FPGA interconnecting resources. (Nov 2019)
[Apr/May 2022]
51
51
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
Connections between the logic blocks within a group can be made using wire segments at
the lowest level of the routing hierarchy.
Connections between the logic blocks in distant groups require the traversal of one or
more levels of routing segments.
As shown in Figure, only one level of routing directly connects to the logic blocks.
Programmable connections are represented with the crosses and circles.
www.EnggTree.com
Figure: Example of Hierarchical FPGA
(b) Xilinx Routing Architecture:
In Xilinx routing, connections are made from logic block into the channel through a
connection block.
As SRAM technology is used to implement Lookup Tables, connection sites are large.
A logic block is surrounded by connection blocks on all four sides.
52
52
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
53
53
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
54
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
(e) Actel Routing Architecture:
Actel's design has more wire segments in horizontal direction than in vertical direction.
The input pins connect to all tracks of the channel that is on the same side as the pin.
The output pins extend across two channels above the logic block and two channels
below it.
Output pin can be connected to all 4 channels that it crosses.
The switch blocks are distributed throughout the horizontal channels.
All vertical tracks can make a connection with every incidental horizontal track.
This allows for the flexibility that a horizontal track can switch into a vertical track, thus
allowing for horizontal and vertical routing of same wire.
The drawback is more switches are required which add up to more capacitive load.
www.EnggTree.com
55
55
Downloaded from EnggTree.com
EnggTree.com
INTERCONNECT:
➢ An electronic circuit designer has multiple choices in realizing the interconnections between
the various devices that make up the circuit.
➢ Here the start of the art processes offers multiple layers of aluminium or copper, and at least
one layer of polysilicon. Even the heavily doped n+ and p+ diffusion layers are typically used for
the realization of source and drain regions can be employed for wiring purposes. These wires
appear in the schematic diagrams of electronic circuit as simple lines with no apparent impact
on the circuit performance.
These wiring of integrated circuits forms a complex geometry that introduces the following
parasitics:
1. Capacitive Parasitics
2. Resistive Parasitics and
3. Inductive Parasitics
The capacitive, resistive and inductive parasitics have multiple effects of the circuit’s behaviour
i.e.
It is important that the designer has a clear insight in the parasitic wiring effects, their relative
importance, and their models. This is best illustrated with the simple example as shown above.
Each wire in a bus network connects a transmitter (or transmitters) to a set of receivers and is
implemented as a link of wire segments of various lengths and geometries. Assume that all
segments are implemented on a single interconnect layer, isolated from the silicon substrate
and from each other by a layer of dielectric material. Be aware that the reality may be far more
complex.
56
56
Downloaded from EnggTree.com
EnggTree.com
Analyzing the behavior of this schematic, which only models a small part of the circuit, is slow
and cumbersome. Fortunately, substantial simplifications can often be made, some of which are
enumerated below,
➢ Inductive effects can be ignored if the resistance of the wire is substantial- this is for instance
the case for long Aluminum wires with a small cross-section- or if the rise and fall times of the
applied signals are slow.
➢ When the wires are short, the cross-section of the wire is large, or the interconnect material
used has a low resistivity, a capacitance- only model can be used (figure FOR WIRE
PARASITICS WITH CAPACITANCE ONLY shown below)
➢ The separation between neighbouring wires is large, or when the wires only run together for a
short distance, inter-wire capacitance can be ignored, and all the parasitic capacitance can be
modeled as capacitance to ground. Obviously, the latter problems are the easiest to model,
analyze, and optimize.
www.EnggTree.com
WIRE PARASITICS (WITH THE EXCEPTION OF INTER-WIRE RESISTANCE AND MUTUAL INDUCTANCE) WIRE PARASITICS WITH
CAPACITANCE ONLY
WIRE MODELS FOR PARASITICS
The various interconnect parameters whose values can be estimated, simple models to evaluate
their impact, and a set of rules- of- thumb to decide i.e. when and where a particular model or
effect should be consideredare:
1. Capacitance Parameter
2. Resistance Parameter
3. Inductance Parameter
The capacitance of such a wire is a function of its shape, its environment, its distance to the
substrate, and the distance to surrounding wires. An accurate modeling of the wire
capacitance(s) in a state-of-the-art integrated circuit is a non-trivial task and is even today the
subject of advanced research.
In capacitance parameter there are two types of capacitance occurring i.e.
1. Parallel plate Capacitance and
2. Fringe Capacitance
57
57
Downloaded from EnggTree.com
EnggTree.com
Where W and L are respectively the width and length of the wire, and tdi and εdi represent the
thickness of the dielectric layer and its permittivity. SiO2 is the dielectric material of choice in
integrated circuits, although some materials with lower permittivity, and hence lower
capacitance, are coming in use.
www.EnggTree.com
Fringing fields/ the fringing-field capacitance model of fringing-field capacitance- decomposes the
Capacitance into two contributions: a parallel-plate
capacitance, and a fringing capacitance, modeled by a cylindrical
wire with a diameter equal to the thickness of the wire
58
Downloaded from EnggTree.com
EnggTree.com
Therefore, the parallel plate capacitance and fringing capacitance constitutes the overall
capacitance. Which is given as,
With w = W - H/2 a good approximation for the width of the parallel-plate capacitor.
Assuming that a wire is completely isolated from its surrounding structures and is only
capacitively coupled to ground, becomes untenable. This is illustrated in figure, where the
capacitance components of a wire embedded in an interconnect hierarchy are identified. Each
wire is not only coupled to the grounded substrate, but also to the neighbouring wires on the
same layer and on adjacent layers. The main difference is that not all its capacitive components
do terminate at the grounded substrate, but that a large number of them connect to other wires,
which have dynamically varying voltage levels, these floating capacitors causes crosstalk and a
www.EnggTree.com
Inter- wire capacitances become a dominant factor in multi- layer interconnect structures. This
effect is more important for wires in the higher interconnect layers, as these wires are farther
away from the substrate. The increasing contribution of the inter- wire capacitance to the total
capacitance with decreasing feature sizes is illustrated by graphical figure as shown, which plots
the capacitive components of a set of parallel wires routed above a ground plane, it is assumed
that dielectric and wire thickness are held constant while scaling all other dimensions. When W
becomes smaller than 1.75 H, the inter-wire capacitance starts to dominate.
59
Downloaded from EnggTree.com
EnggTree.com
The resistance of a wire is proportional to its length L and inversely proportional to its
cross- section A.The resistance of a rectangular conductor as shown in figure below can be
expressed as,
60
Downloaded from EnggTree.com
EnggTree.com
Where:
ρ = resistivity
A = HW = area of cross section of the rectangular wireIf L = W, i.e. square of resistive material,
then
the sheet resistance of the material, having units of Ω/ sq. This expresses that the resistance
of a square conductor is independent of its absolute size, as is apparent from
To obtain the resistance of a wire, simply multiply the sheet resistance by its ratio (L/ W).
www.EnggTree.com
Aluminum is the interconnect material most often used in integrated circuits because of its low
cost and its compatibility with the standard integrated- circuit fabrication process.
Unfortunately, it has a large resistivity compared to materials such as Copper. With ever-
increasing performance targets, this is rapidly becoming a liability and top- of- the- line
processes are now increasingly using Copper as the conductor of choice.
Typical values of the Sheet Resistance of various Interconnect Materials using 0.25 µm
CMOS Technology:
61
Downloaded from EnggTree.com
EnggTree.com
From the table, we conclude that Aluminum is the preferred material for the wiring of long
interconnections. Polysilicon should only be used for local interconnect. Although the sheet
resistance of the diffusion layer (n+, p+) is comparable to that of polysilicon, the use of
diffusion wires should be avoided due to its large capacitance and the associated RC delay.
The inductance of a section of a circuit states that a changing current passing through an
inductor generates avoltage drop ΔV.
On-chip inductance include ringing and overshoot effects, reflections of signals due to
impedance mismatch, inductive coupling between lines, and switching noise due to Ldi/dt
voltage drops.
It is possible to compute the inductance a wire directly from its geometry and its environment.
A simpler approach relies on the fact that the capacitance c and the inductance l (per unit
length) of a wire are relatedby the following expression,
www.EnggTree.com
With and µ respectively the permittivity and permeability of the surrounding dielectric.
Other interesting relations, obtained from Maxwell’s laws, can be pointed out. The constant
product of permeability and permittivity also defines the speed at which an electromagnetic
wave can propagate through the medium,
62
Downloaded from EnggTree.com
EnggTree.com
Dielectric constants and wave-propagation speeds for various materials used in electronic
circuits;(The relative permeability µr of most dielectrics is approximately equal to 1)
INTERCONNECT MODELING:
Lumped Model:
The circuit parasitics of a wire are distributed along its length and are not lumped into a
single position. Yet, when only a single parasitic component is dominant, when the interaction
between the components is small, or when looking at only one aspect of the circuit behaviour, it
is often useful to lump the different fractions into a single circuit element. The advantage of this
approach is that the effects of the parasitic then can be described by an ordinary differential
equation.
As long as the resistive component of the wire is small and the switching frequencies are in the
low to medium range, it is meaningful to consider only the capacitive component of the wire,
and to lump the distributed capacitance into a single capacitor as shown in figure. It is observed
that in this model the wire still represents an equipotential region, and that the wire itself does
not introduce any delay. The only impact on performance is introduced by the loading effect of
the capacitor on the driving gate. This capacitive lumped model is simple, yet effective, and is
the model of choice for the analysis of most interconnect wires in digital integrated circuits.
63
Downloaded from EnggTree.com
EnggTree.com
DISTRIBUTED VERSUS LUMPED CAPACITANCE MODEL OF WIRE. CLUMPED = L×CWIRE, WITH L THE
LENGTH OF THE WIRE AND CWIRE THE CAPACITANCE PER UNIT LENGTH. THE DRIVER IS
MODELED AS A VOLTAGE SOURCE AND A SOURCE RESISTANCE RDRIVER
The operation of this simple RC network is described by the following ordinary differential
equation,
On-chip metal wires of over a few mm length have a significant resistance. The equipotential
assumption, presented in the lumped-capacitor model, is no longer adequate, and a resistive-
capacitive model has to be adopted.
A first approach lumps the total wire resistance of each wire segment into one single R and
similarly combines the global capacitance into a single capacitor C. This simple model, called
www.EnggTree.com
the lumped RC model, is pessimistic and inaccurate for long interconnect wires, which are more
adequately represented by a distributed rc-model. Yet, before analyzing the distributed model, it
is worthwhile to spend some time on the analysis and the modeling of lumped RC networks for
the following reasons:
1. The distributed rc-model is complex and no closed form solutions exist. The behaviour of the
distributed rc- line can be adequately modeled by a simple RC network.
2. A common practice in the study of the transient behavior of complex transistor-wire networks
is to reduce the circuit to an RC network. Having a means to analyze such a network effectively
and to predict its first-order response would add a great asset to the designers tool box.
64
Downloaded from EnggTree.com
EnggTree.com
An interesting result of this particular circuit topology is that there exists a unique resistive path
between the source node s and any node i of the network. The total resistance along this path is
called the path resistance Rii. For example, the path resistance between the source node s and
node 4 equals,
The definition of the path resistance can be extended to address the shared path resistance Rik,
which represents the resistance shared among the paths from the root node s to nodes k and i:
Here,
Ri4 = R1 + R3 while Ri2 = R1
Assume now that each of the N nodes of the network is initially discharged to GND, and that a
step input is applied at node s at time t = 0. The Elmore delay at node i is then given by the
following expression:
Therefore, the Elmore delay is equivalent to the first-order time constant of the network (or the
first moment of the impulse response). The designer should be aware that this time- constant
represents a simple approximation of the actual delay between source node and node i. Yet in
most cases this approximation haswww.EnggTree.com
proven to be quite reasonable and acceptable. It offers the
designer a powerful mechanism for providing a quick estimate of the delay of a complex
network.
The RC delay of a tree structured network is given as,
i.e. using
As
65
Downloaded from EnggTree.com
EnggTree.com
RC CHAIN MODEL
The component of node 1 consists of C1R1 with R1 the total resistance between the node and the
source, while the contribution of node 2 equals C2(R1 + R2). The equivalent time
constant at node 2 equalsC1R1 + C2(R1 + R2). i of node i can be derived in a similar way.
Thus, the Elmore delay formula has proven to be extremely useful. Besides making it possible
to analyze wires, the formula can also be used to approximate the propagation delay of complex
transistor networks. The evaluation of the propagation delay is then reduced to the analysis of
the resulting RC network. More precise minimum and maximum bounds on the voltage
waveforms in an RC tree have further been established.
www.EnggTree.com
Distributed RC line Model/ Distributed rc line Model:
A distributed rc line model is a more appropriate model as shown below which has, r and c
stand for the resistance and capacitance per unit length.
66
Downloaded from EnggTree.com
EnggTree.com
The voltage at node i of this network can be determined by solving the following set of partial
differential equations:
equation:
Where V is the voltage at a particular point in the wire, and x is the distance between this point
and the signal source. No closed form solution exists for this equation, but approximative
expressions such as the formula written below can be derived:
The graph below shows the response of a wire to a step input, plotting the waveforms at
www.EnggTree.com
different points in the wire as a function of time. It is observable how the step waveform
“diffuses” from the start to the end of the wire, and the waveform rapidly degrades, resulting in
a considerable delay for long wires. Driving these rc lines and minimizing the delay and signal
degradation is one of the trickiest problems in modern digital integrated circuit design.
rc delays should only be considered when the rise (fall) time at the line input is smaller
than RC, the rise (fall) time of the line.
With R and C the total resistance and capacitance of the wire. When this condition is not met,
the change in signal is slower than the propagation delay of the wire, and a lumped capacitive
model suffices.
67
Downloaded from EnggTree.com
EnggTree.com
www.EnggTree.com
The transmission line has the prime property that a signal propagates over the interconnection
medium as a wave. This is in contrast to the distributed rc model, where the signal diffuses from
the source to the destination governed by the diffusion equation i.e.
In the wave mode, a signal propagates by alternatively transferring energy from the electric to
the magnetic fields, or equivalently from the capacitive to the inductive modes.
68
Downloaded from EnggTree.com
EnggTree.com
Consider the point x along the transmission line of figure as shown above at time t. The
following set of equations holds:
Assuming that the leakage conductance g equals 0, which is true for most insulating materials,
and eliminating the current i yields the wave propagation equation,
where r, c, and l are the resistance, capacitance, and inductance per unit length respectively.
CAPACITIVE PARASITICS:
➢ Capacitance reliability and Cross talk:
An unwanted coupling from a neighbouring signal wire to a network node introduces an
interference that is generally called cross talk. The resulting disturbance acts as a noise source
and can lead to hard-to-trace intermittent errors, since the injected noise depends upon the
transient value of the other signals routed in the neighbourhood. In integrated circuits, this inter
signal coupling can be both capacitive and inductive.
Capacitive cross talk is the dominant effect at current switching speeds, although inductive
coupling forms a major concern in the design of the input-output circuitry of mixed-signal
circuits. The potential impact of capacitive crosstalk is influenced by the impedance of the line
under examination. If the line is floating, the
69
Downloaded from EnggTree.com
EnggTree.com
disturbance caused by the coupling persists and may be worsened by subsequent switching
on adjacentwires. If the wire is driven, on the other hand, the signal returns to its original level.
o Floating Lines:
Considering the circuit shown as above, where line X is coupled to wire Y by a parasitic
capacitance CXY. Line Y sees a total capacitance to ground equal to CY. Assuming that the
voltage at node X experiences a step change equal to ΔVX. This step appears on node Y
attenuated by the capacitive voltage divider.
www.EnggTree.com
Circuits that are particularly susceptive to capacitive cross talk are networks with low- swing
pre chargednodes, located in adjacent to full- swing wires (with ΔVX = VDD).
Examples of these are dynamic memories, low swing on chip busses and some dynamic
families.To address the cross talk issue, level- restoring device or keepers are a must in dynamic
logic.
o Driven Lines:
70
Downloaded from EnggTree.com
EnggTree.com
As seen from the figure, if the line Y is driven with a resistance RY, a step on line X results in
a transient on line Y. The transient decays with a time constant τXY = RY (CXY + CY ). The
actual impact on the victim line is a strong function of the rise- fall time of the interfering
signal.
If the rise time is comparable or larger than the time constant, the peak value of disturbance is
diminished. This can be observed in the response figure.
Obvious, keeping the driving impedance of a wire and hence τXY low goes a long way towards
reducing the impact of capacitive cross talk. The keeper transistor added to a dynamic gate or
pre charged wire is an excellent example of how impedance reduction helps to control noise.
Therefore, the impact of cross talk on the signal integrity of driven nodes is rather limited. The
resulting glitches may cause malfunctioning of connecting sequential elements, and should
therefore be carefully monitored. The most important effect is an increase in delay.
1. If possible avoid floating nodes, nodes sensitive to cross talk problems such as pre charged
busses,should be equipped with keeper devices to reduce the impedance.
2. Sensitive nodes should be well separated from full swing signals.
3. Making the rise- fall time as large as possible subjection to timing constraints.
www.EnggTree.com
4. Use differential signalling in sensitive low swing wiring networks. This turns the cross talk
signal into a common mode noise source that does not impact the operation of the circuit.
5. To keep the cross talk minimum, do not allow the capacitance between the two signal wires to
grow too large.
6. If necessary provide shielding wire- GND or VDD between the two signals as show below. This
effectively turns the interwire capacitance into a capacitance to ground and eliminates
interference. An adverse effect of shielding is the increased capacitive load.
7. The interwire capacitance between signals on different layers can be further reduced by
addition of extra routing layers.
71
Downloaded from EnggTree.com
EnggTree.com
The circuit schematic illustrates of how capacitive cross talk may result in a data-dependent
variation of the propagation delay. Assume that the inputs to the three parallel wires X, Y, and Z
experience simultaneous transitions. www.EnggTree.com
Wire Y (called the victim wire) switches in a direction that
is opposite to the transitions of its neighbouring signals X and Z. The coupling capacitances
experience a voltage swing that is double the signal swing, and hence represent an effective
capacitive load that is twice as large as Cc- the by now well known Miller effect.
Since the coupling capacitance represents a large fraction of the overall capacitance in the deep-
submicron dense wire structures, this increase in capacitance is substantial, and has a major
impact on the propagation delay of the circuit. Observe that this is a worst-case scenario. If all
inputs experience a simultaneous transition in the same direction, the voltage over the coupling
capacitances remains constant, resulting in a zero contribution to the effective load capacitance.
The total load capacitance CL of gate Y, hence depends upon the data activities on the
neighbouring signals and varies between the following bounds:
with CGND the capacitance of node Y to ground, including the diffusion and fan out capacitances.
72
Downloaded from EnggTree.com
EnggTree.com
With cross talk making wire-delay more and more unpredictable, a designer can choose
between a numberof different methodology options to address the issue, some of which are,
1. Evaluate and improve: After detailed extraction and simulation, the bottlenecks in
delay are identified,and the circuit is appropriately modified.
2. Constructive layout generation: Wire routing programs take into account the effects of
the adjacent wires,ensuring that the performance requirements are met.
3. Predictable structures: By using predefined, known, or conservative wiring structures,
the designer is that the circuit will meet his specifications and that cross talk will not be a show
stopper.
Typical examples of large on-chip loads are busses, clock networks, and control wires. The
latter include, for instance, reset and set signals. These signals control the operation of a large
number of gates, so fan-out is normally high. Other examples of large fan-outs are encountered
in memories where a large numberwww.EnggTree.com
of storage cells is connected to a small set of control and
data wires.
The capacitance of these nodes is easily in the multi-pico farad range. The worst case occurs
when signals go off-chip. In this case, the load consists of the package wiring, the printed circuit
board wiring, and the input capacitance of the connected ICs or components.
Typical off-chip loads range from 20 to 50 pF, which is multiple thousand times larger than a
standard on- chip load. Driving those nodes with sufficient speed becomes one of the most
crucial design problems.
The main secrets to the efficient driving of large capacitive loads are:
1. Adequate transistor sizing is instrumental when dealing with large loads.
2. Partitioning drivers into chains of gradually-increasing fers helps to deal with large fan out
factors.
73
Downloaded from EnggTree.com
EnggTree.com
RESISTIVE PARASITICS:
EVOLUTION OF POWER SUPPLY CURRENT AND SUPPLY VOLTAGE OHMIC VOLTAGE DROP ON THE SUPPLY
REDUCES NOISE MARGIN
Consider a 2 cm long VDD or GND wire with a current of 1mA per µm width. This current is
about the maximum that can be sustained by an aluminum wire due to electromigration
and assuming asheet resistance of 0.05 Ω/sq, the resistance of this wire (per µm width) equals
1 kΩ. A current of 1 mA/µm would result in a voltage drop of 1 V. The altered value of the
voltage supply reduces noise margins and changes the logic levels as a function of the distance
www.EnggTree.com
from the supply terminals. This is demonstrated by the circuit shown above, where an inverter
placed far from the power and ground pins connects to a devicecloser to the supply.
The difference in logic levels caused by the IR voltage drop over the supply rails might partially
turn on transistor M1. This can result in an accidental discharging of the pre charged,
dynamic node X, or causestatic power consumption if the connecting gate is static. In short, the
current pulses from the on-chip logic, memories and I/O pins cause voltage drops over the
power- distribution network and are the major source for on- chip power supply noise. Beyond
causing a reliability risk, IR drops on the supply network also impact the performance of the
system. A small drop in the supply voltage may cause a significant increase indelay.
The most obvious problem is to reduce the maximum distance between the supply pins and the
circuit supply connections which is most easily accomplished through a structured layout of the
power distribution network. A number of on- chip power distribution networks with peripheral
bonding.
➢ Electromigration:
The current density (current per unit area) in a metal wire is limited due to an effect called
electromigration. A direct current in a metal wire running over a substantial time period,
causes a transport of the metal ions. Eventually, this causes the wire to break or to short
circuit to another wire. This type of failure will only occur after the device has been in use for
some time.
74
Downloaded from EnggTree.com
EnggTree.com
The rate of the electromigration depends upon the temperature, the crystal structure, and the
average current density. The latter is the only factor that can be effectively controlled by the
circuit designer. Keeping the current below 0.5 to 1 mA/ µm normally prevents migration. This
parameter can be used to determine the minimal wire width of the power and ground network.
Signal wires normally carry an ac- current and are less susceptible to migration. The
bidirectional flow of the electrons tends to anneal any damage done to the crystal structure.
www.EnggTree.com
Most companies impose a number of strict wire-sizing guidelines on their designers, based on
measurements and past experience.
Electromigration effects are proportional to the average current flow through the wire, while IR
voltage drops are a function of the peak current.
From designing point of view, at the technology level, a number of precautions can be taken
to reduce themigration risk i.e.
1. To add alloying elements (such as Cu or Tu) to the aluminum to prevent the movement of
the Alions.
2. To control the granularity of the ions.
3. The introduction of new interconnect materials is a big help as well. For instance, the use of
Copper interconnect increases the expected lifetime of a wire with a factor of 100 over Al.
75
Downloaded from EnggTree.com
EnggTree.com
Providing accurate synchronization and correct operation becomes a major challenge under
these circumstances. Therefore the different design techniques to cope with the delay imposed
by the resistance ofthe wire are,
Here the designer should be aware that these new materials only provide a temporary respite of
one or two generations, and do not solve the fundamental problem of the delay of long wires.
Innovative design techniques are often the only way of coping with the latter.
Sometimes, it is hard to avoid the use of long polysilicon wires. A good example of such
circumstance are the address lines in memories, which must connect to a large number of
transistor gates. Keeping the wires in polysilicon increases the memory density substantially by
avoiding the overhead of the extra metal contacts. The polysilicon- only option unfortunately
leads to an excessive propagation delay. One possible solution is to drive the word line from
both ends, as shown in Figure. This effectively reduces the worst-case delay by a factor of four.
Another option is to provide an extra metal wire, called a bypass, which runs parallel to the
www.EnggTree.com
polysilicon one, and connects to it every k cells as shown in figure. The delay is now dominated
by the much shorter polysilicon segments between the contacts. Providing contacts only every k
76
Downloaded from EnggTree.com
EnggTree.com
➢ In Manhattan style routing, interconnections are first routed along the one of the preferred
directions,followed by a connection in the other direction as shown.
➢ In Diagonal style routing less size of the wire length is required, on comparison to Manhattan
29% in best case. And the use of 45°lines is ironical in integrated circuits. The main issues of
diagonal routing are its complexity, impact on tools and masking concerns.
www.EnggTree.com
Earlier Manhattan routing was preferred because of the issues of diagonal routing inspite of
its features. Now diagonal routing is preferred due to its features i.e. less wire length and 45°
lines, its issues of complexity, impact on tools and masking concerns are easily overcomed
nowadays by using CAD tools (Computer Aided Design Tools) like Cadence. Therefore the
impact on wiring is quite tangible, a reduction of 20% in wire length, resulting in higher
performance, lower power dissipation and smaller chip area.
o Introducing Repeaters/ Buffer Insertion for very long wires:
The most popular design approach to reducing the propagation delay of long wires is to
introduce intermediate buffers, also called repeaters, in the interconnect line as shown below.
77
Downloaded from EnggTree.com
EnggTree.com
Making an interconnect line m times shorter reduces its propagation delay quadratically, and is
sufficient to offset the extra delay of the repeaters when the wire is sufficiently long. Assuming
that the repeaters have a fixed delay tpbuf , we can derive the delay of the partitioned wire.
𝜕 𝑡𝑝
The optimal number of buffers that minimizes the overall delay can be found by setting = 0,
𝜕𝑚
and is obtained when the delay of the individual wire segments is made equal to that of a
repeater.
www.EnggTree.com
Long wires hence often exhibit a delay that is longer than the clock period of the design. For
instance, the 10 cm long Al wire of comes with a minimum delay of 4.7 nsec, even after optimal
buffer insertion and sizing, while the 0.25 m CMOS process featured in this text can sustain
clock speeds in excess of 1 GHz (this is, clock periods below 1 nsec). The wire delay all-by-
itself hence becomes the limiting factor on the performance achievable by the integrated circuit.
The only way to address this bottleneck is to tackle it at thesystem architecture-level.
The wire is partitioned in k segments by inserting registers or latches. While this does not
reduce the delay through the wire segment, it takes k clock cycles for a signal to proceed
through the wire, it helps to increase its throughput, as the wire is handling k signals
simultaneously at any point in time. The delay of the individual wire segments can further be
optimized by repeater insertion, and should be below a single clock period.
78
Downloaded from EnggTree.com
EnggTree.com
This is only one example of the many techniques that the chip architect has at her disposal to
deal with the wire delay problem. The most important concern from this is that the wires have to
be considered early on in the design process, and can no longer be treated as an afterthought as
was most often the case in the past.
INDUCTIVE PARASITICS:
Interconnect wires also exhibit an inductive parasitic. An important source of parasitic
inductance is introduced by the bonding wires and chip packages. Even for intermediate- speed
CMOS designs, the current through the input- output connections can experience fast transitions
that cause voltage drops as well as ringing and overshooting, phenomena not found in RC
circuits. At higher switching speeds, wave propagation and transmission line effects can come
into the picture.
➢ Inductance and Reliability- 𝑳 𝒅𝒊 𝑽𝒐𝒍𝒕𝒂𝒈𝒆 𝑫𝒓𝒐𝒑:
𝒅𝒕
During each switching action, a transient current is sourced from (or sunk into) the supply rails
to charge (or discharge) the circuit capacitances as shown. Both VDD and VSS connections are
routed to the external supplies through bonding wires and package pins and possess a non
ignorable series inductance. Hence, a change in the transient current creates a voltage difference
between the external and internal (V’DD, GND’) supply voltages. This situation is especially
severe at the output pads, where the driving of the large external capacitances generates large
current surges. The deviations on the internal supply voltages affect the logic levels and result in
reduced noise margins.
www.EnggTree.com
In an actual circuit, a single supply pin serves a large number of gates or output drivers. A
simultaneous switching of those drivers causes even worse current transients and voltage drops.
As a result, the internal supply voltages deviate in a substantial way from the external ones. For
instance, the simultaneous switching of the 16 output drivers of an output bus would cause a
voltage drop of at least 1.1 V if the supply connections of the buffers were connected to the
same pin on the package. Improvements in packaging technologies are leading to ever-
increasing numbers of pins per package. Packages with up to 1000 pins are currently available.
Simultaneous switching of a substantial number of those pins results in huge spikes on the
supply rails that are bound to disturb the operation of the internal circuits as well as other
external components connected to the same supplies.
79
Downloaded from EnggTree.com
EnggTree.com
1. Separate pins for I/O pads and chip core. Since the I/O drivers require the largest switching
currents, they also cause the largest current changes. Therefore, it is wise to isolate the core of
the chip where most of the logic action occurs, from the drivers by providing different power
and ground pins.
2. Multiple power and ground pins in order to reduce the per supply pin, we can restrict the
number of I/O drivers connected to a single supply pin.
3. Careful selection of positions of the power and ground pins on the package. The inductance of
pins located at the corners of the package is substantially higher as shown below.
www.EnggTree.com
THE INDUCTANCE OF A BONDING WIRE/ PIN COMBINATION DEPENDS UPON THE PIN
POSITIONS
DECOUPLING CAPACITORS ISOLATE THE BOARD INDUCTANCE FROM THE BONDING WIRE AND
IN INDUCTANCE
80
Downloaded from EnggTree.com
EnggTree.com
www.EnggTree.com
The two scenarios- series and parallel termination as shown are depicted in figure. Series
termination requires that the impedance of the signal source is matched to the connecting wire.
This approach is appropriate for many CMOS designs, where the destination load is purely
capacitive. The impedance of the driver inverter can be matched to the line by careful transistor
sizing.
o Shielding
If we want to control the behaviour of a wire behaving as a transmission line, we should
carefully plan and manage how the return current flows. A good example of a well-defined
transmission line is the coaxial cable, where the signal wire is surrounded by a cylindrical
ground plane. To accomplish similar effects on a board or on a chip, designers often surround
the signal wire with ground (supply) planes and shielding wires. Being shielding, adding
shielding makes the behaviour and the delay of an interconnection a lot more predictable. Yet
even with these precautions, powerful extraction and simulation tools will be needed in the
future for the high-performance circuit designer.
81
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
UNIT – IV
INTERCONNECT, MEMORY ARCHITECTURE AND ARITHMETICCIRCUITS
TWO MARK QUESTIONS AND ANSWERS
1. What is meant by data path circuits? (APR 2016)
• Data path circuits are meant for passing the data from one segment to other segment for
processing or storing.
• The data path is the core of processors, where all computations are performed.
3. Draw the circuit for 4 bit ripple carry adder. (NOV 2018)
4. Write the equation for total delay in 4 bit ripple carry adder.
The total delay using the following equation,
t4b = td (cin → S3) +2td (cin → cout) + td (a0, b0 → c1)
www.EnggTree.com
5. Write the equation for worst case delay in 4 bit ripple carry adder.
If it is extend to n-bit, then the worst case delay is
tn-bit = td(cin → Sn-1) + (n-2)td(cin → cout) +td(a0,b0 → c1)
82
82
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
9. Write the equation for propagates term in CLA.
• In the case of binary addition, A + B propagates if and only if at least one of A or B is 1.
If we write P(A,B) to represent the binary predicate that is true if and only if A + B
propagates, we have:Write the propagate term as, pi = ai bi
10. What are the two factors that Carry lookahead adder depends on?
• Carry lookahead depends on two things:
o Calculating, for each digit position, whether that position is going to propagate a
carry if one comes in from the right.
o Combining these calculated values to be able to deduce quickly whether, for each
group of digits, that group is going to propagate a carry that comes in from the
right.
14. Write the basic equation for Manchester Carry Chain Adder?
Define kill term, propagate and generate term in a carry look ahead adder. (April
2019)
• In this adder, the basic equation is ci +1 = g i + ci .pi
Where pi = ai bi and g i = ai .bi
• Carry kill bit ki = ai + bi = ai .bi
• If Ki=1, then pi=0 and gi=0. Hence, ki is known as carry kill bit.
15. Draw the switch level circuit for Manchester carry chain adder.
83
83
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
The switch level circuit is given as
84
84
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
22. What are multipliers?
• Multiplier is used in computation process, which multiplies two binary numbers.
• Basic operations in multiplication are given below.
0 x 0 = 0, 0 x 1 = 0, 1 x 0 = 0, 1x1=1
.
23. Draw the truth table of multiplier.
The truth table of multiplier is
www.EnggTree.com
85
85
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
29. Compare serial divider and parallel divider.
• Serial divider is slow and parallel divider is fast in performance. Array divider is fast
compared with the serial divider. But hardware requirement is increased.
30. What is shift register?
• An n-bit rotation is specified by using the control word R0-n and L/R bit defines a left or
right shifting.
• For example y3 y 2 y 1 y 0 = a3 a2 a1 a0
If it is rotated 1-bit in left side, we get y3 y 2 y 1 y 0 = a2 a1 a0 a3
If it is rotated 1-bit in right side, we get y3 y 2 y 1 y 0 = a0 a3 a2 a1
31. What is meant by Barrel shifter?
• A barrel shifter is a digital circuit that can shift a data word by a specified number of bits
in one clock cycle.
• It can be implemented as a sequence of multiplexers (MUX). The output of one MUX is
connected to the input of the next MUX in a way that depends on the shift distance.
32. Draw the structure of 4 X 4 barrel shifter. (April 2018)
www.EnggTree.com
33. What is the area constraint between carry lookahead adder and ripple carry adder?
• The area of a carry lookahead adder is larger than the area of a ripple carry adder.
• Carry lookahead adder are parallel, which requires a larger number of gates and also
results in a larger area.
34. What is the drawback of carry lookahead adder?
• In the carry lookahead adder, need large area because computations are in parallel and
more power is consumed.
35. Draw the graph between area Vs delay of carry lookahead and ripple carry adder
for 8 bit.
86
86
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
36. Draw the graph between area Vs delay of carry lookahead and ripple carry adder
for 16 bit.
37. Draw the graph between area Vs delay of carry lookahead and ripple carry adder
for32 bit.
40. Draw and list out the components of data path. (May 2017)
• Data path block consists of arithmetic operation, logical operation, shift operation and
temporary storage of operands.
87
87
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
42. What is latency? (Nov 2017)
• Clock latency (or clock insertion delay) is defined as the amount of time taken by the
clock signal in traveling from its source to the sinks.
88
88
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
56. Draw the schematic of dynamic edge –triggered register. (Dec. 2016)
57. Design a one transistor DRAM cell. (Nov 2013, April 2015)
Draw a 1-transistor Dynamic RAM cell. (April 2019) [Nov/Dec 2022]
www.EnggTree.com
89
89
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
61. Mention the different hardware architecture used for multiplier. (Nov 2019)
Hardware architectures for multipliers protected by (a) linear arithmetic codes, (b)
[jxj ; j2xj ] multilinear codes, and (c) multi-modulus multilinear codes.
62. Draw the dot diagram for Wallace tree multiplier. [May 2021]
www.EnggTree.com
64. State the need of a sense amplifier in a memory cell. (NOV 2021)[Apr/May 2022]
It senses the low power signals from a bitline that represents a data bit (1 or 0) stored in a
memory cell, and amplify the small voltage swing to recognizable logic levels so the data can be
interpreted properly.
90
90
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
• The simplest case arises when two one bit numbers are to be added.
• With one bit, only the numbers 0 and 1 can be represented.
• All possible scenarios can be summarized by the following table:
www.EnggTree.com
91
91
Downloaded from EnggTree.com
EnggTree.com
UNIT-IV –EC3552 VLSI AND CHIP DESIGN
UNIT – IV
INTERCONNECT, MEMORY ARCHITECTURE AND ARITHMETICCIRCUITS
Question Bank
1. Design a multiplier for 5 bit by 3 bit. Explain its operation and summarize the numbers
of adders. Discuss it over Wallace multiplier.
2. Describe the different approaches of improving the speed of the adder.
3. How the drawback in ripple carry adder overcome by carry look ahead adder and
discuss.
4. Draw the ripple carry adder & explain its operation.
5. Design a carry bypass adder and discuss its features.
6. Design 4 input and 4 output barrel shifter using NMOS logic.
7. Explain in detail about the interconnect.
8. Describe about interconnect modelling.
www.EnggTree.com
92
92
Downloaded from EnggTree.com
EnggTree.com
UNIT-V EC3552-VLSI AND CHIP DESIGN
Introduction:
ASIC - Application Specific Integrated Circuit is an Integrated Circuit (IC) designed to perform
a specific function for a specific application.
Levels of integration:
The levels of integration are:
SSI - Small scale integration
MSI - Medium scale integration
LSI - Large scale integration
VLSI - Very large scale integration
USLI - Ultra large scale integration
Implementation technology
The implementation technologies used in ASIC are:
TTL – Transistor Transistor Logic
ECL – Emitter Coupled Logic
MOS – Metal Oxide Semiconductor (NMOS, CMOS)
5.1: Types of ASICs www.EnggTree.com
Explain about different types of ASICs with neat diagram. (April 2016, 2017, 2018)
Write brief notes on: (a) Full custom ASIC (b) Semi custom ASIC (May 2010, May 2016)
Compare the different types of ASICs. (Nov 2007, Nov 2008)
5.1.1:Full-Custom ASICs
In full custom ASIC, engineer can design full logic cells in IC. So, this technique is known as
Full custom ASIC technique.
1
Engineer uses mixed analog and digital technique to manufacture IC. All the logic cells are
specifically designed for one ASIC.
Uses of bipolar technology:
The characteristics of bipolar components in the same IC are matched very well.
But the characteristic of components in different IC are not matched well
Uses of CMOS:
This is widely used technology to manufacture IC.
Mixing of analog and digital function are integrated in the same IC for which CMOS technology
suits well.
Designers give importance to performance.
When large volume is manufactured, overall cost will be reduced.
In super computer, quality is important so this design is implemented.
All mask layers are customized in a full-custom ASIC
Generally, the designer lays out all cells by hand
Some automatic placement and routing may be done
Critical (timing) paths are usually laid out completely by hand
Full-custom design offers the highest performance and lowest part cost (smallest die size) for a
given design.
The disadvantages of full-custom design include increased design time, complexity, design
expense, and highest risk.
Microprocessors (strategic silicon) were exclusively full-custom, but designers are increasingly
turning to semicustom ASIC techniques in this area as well.
www.EnggTree.com
5.1.2: Semi-custom ASICs – Design
Briefly explain the semi-custom Asics with its classification. (May 2016, NOV 2016)
It allows mega cells (SRAM, MPEG, decoder etc) to be placed in the same IC with standard cells
(adder, gates etc).
Mega cells are supplied by ASIC Company.
Data path logic means the logic that operates on multiple signals across a data bus.
Some of the ASIC library companies provide data path compiler which automatically generate data
path logic.
Data path library contains cells like adders, multiplexer, simple ALUs.
ASIC Library Company provide data book which has functional description.
Features:
It is a cell-based ASIC ( CBIC —“sea-bick”)
It has Standard cells. Standard cell is logic elements used CMOS technology.
Possibly megacells , megafunctions , full-custom blocks , system-level macros (SLMs), fixed
blocks , cores , or Functional Standard Blocks ( FSBs )
All mask layers are customized - transistors and interconnect
Automated buffer sizing, placement and routing. And custom blocks can be embedded.
www.EnggTree.com
www.EnggTree.com
Figure: Channel Gate Array
(b) Channel less Gate Array:
Channel less Gate Array is also called as channel free GA.
In this array, there is no predefined space between rows for routing.
Top few layers are used for defining interconnect connections.
There are no predefined areas set aside for routing - routing is over the top of the gate-array
devices.
Achievable logic density is higher than for channeled gate arrays.
Each logic cell or macro in a gate-array library is predesigned using fixed tiles of transistors
known as the gate-array base cell (or just base cell).
www.EnggTree.com
Figure: Structured Gate Array
************************************************************************************
5.2: ASIC Design Flow / Cycle
Explain the ASIC design flow with a neat diagram. (Nov 2007, April 2008, Nov 2008)
Draw the flowchart of digital circuit design techniques. (NOV 2018)
www.EnggTree.com
*********************************************************************************
5.3: ASIC Cell Libraries
A library vendor normally develops a cell library using information about a process supplied by an
ASIC foundry.
An ASIC foundry only provides manufacturing, with no design help. If the cell library meets the
foundry specifications, we call this a qualified cell library.
These cell libraries are normally expensive, but if a library is qualified at several foundries.
The third choice is to develop a cell library in-house. Many large computer and electronics
companies make this choice.
However, created each cell in an ASIC cell library must contain the following:
A physical layout
A behavioral model
A Verilog/VHDL model
Detailed timing models.
A test strategy
A circuit schematic
A cell icon
A wire-load model
A routing models.
The ASIC designer needs a high-level behavioral model for each cell.
Because simulation at the detailed timing level takes too long for a complete ASIC design.
The designer may require Verilog and VHDL models in addition to the models for a particular logic
simulator.
www.EnggTree.com
************************************************************************************
5.4: Library-Cell Design
Design rules for each ASIC vendor are slightly different even for the same generation of technology.
For example, two companies may have very similar 0.35 nm CMOS process technologies, but the
third-level metal spacing might be slightly different.
A library constructed in this fashion may not be competitive with one that is constructed specifically
for each process.
ASIC vendors prize their design rules as secret, it turns out that they are similar except for a few
details.
We would like all vendors to agree on a common set of design rules.
The reason that most vendors have similar rules is because most vendors use the same
manufacturing equipment and a similar process.
Layout of library cells is either hand-crafted or uses some form of symbolic layout.
Symbolic layout is usually performed in one of two ways: using either interactive graphics or text
layout language.
Shapes are represented by simple lines or rectangles, known as sticks, in a symbolic layout.
The actual dimensions of the sticks are determined after layout is completed in a Post processing
step.
Graphical symbolic layout uses a text layout language, like a programming language such as C that
directs a program to assemble layout.
************************************************************************************
7
CMOS Testing
Explain about Microchip design process.
Microchip design process:
The microchip design process involves several stages from conceptualization to production. Here is an
overview of the typical steps involved:
1. Specification: In this stage, the requirements and functionality of the microchip are defined.
Designers work closely with stakeholders to understand the application and performance targets.
2. Architecture Design: The chip's high-level architecture is developed, including the selection of
components, interconnections, and overall system design. This stage focuses on defining the chip's
functionality and how different components will interact.
3. RTL Design: The Register Transfer Level (RTL) design is created, describing the chip's behavior
using hardware description languages like Verilog or VHDL. RTL design forms the basis for later
stages.
4. Functional Verification: The RTL design is extensively tested to ensure it behaves as intended.
Various verification techniques, such as simulation, formal verification, and hardware emulation, are
employed to catch design bugs and issues.
5. Synthesis and Physical Design: The RTL code is synthesized into a gate-level netlist, which
www.EnggTree.com
represents the chip's physical implementation. The physical design phase involves floor planning,
placement, routing, and optimization to meet timing and area constraints.
6. Design for Testability (DFT): Techniques like scan chains, built-in self-test (BIST) structures, and
boundary scan are added to make the chip more testable during manufacturing and in the field.
7. Manufacturing: The final design is sent to a semiconductor foundry for fabrication. This process
involves photolithography and other steps to create the actual silicon chip.
8. Testing and Quality Assurance: After manufacturing, the chips undergo various testing
methodologies to ensure they meet the desired specifications and are free from defects.
****************************************************************
Explain the Issues in Test and Verification of Complex Chips, Embedded Cores, and SoCs:
Issues in Test and Verification of Complex Chips, Embedded Cores, and SoCs:
1. Complexity: As chips and systems-on-chip (SoCs) become more complex, the verification effort
increases exponentially. Ensuring all possible scenarios and corner cases are covered in testing becomes
challenging.
2. Verification Time and Cost: With the growing complexity, the time and cost required for functional
verification can become substantial.
3. Integration Testing: Integrating various IP cores and subsystems onto a single chip or SoC
introduces new challenges in testing the interactions between these components.
4. Power and Clock Domains: Handling multiple power domains and clock domains in a chip requires
careful verification to ensure proper functionality and minimize power consumption.
5. Performance Verification: Ensuring that the chip operates at the desired performance levels under
all conditions and workloads is crucial, especially for high-performance chips.
6. Test Generation: Generating effective and efficient test patterns to cover various fault models is a
non-trivial task, especially for complex designs.
7. Debugging: Identifying and debugging issues in large and complex designs can be time-consuming
and requires advanced debugging techniques.
Fault Models:
Fault models are representations of potential defects that can occur in a chip or design. Common fault
models include:
1. Stuck-at Faults: These faults assume that a particular node in the circuit is stuck at either '0' or '1'.
2. Transition Delay Faults: These faults model timing-related issues, where a signal changes too
slowly or too fast. www.EnggTree.com
3. Path Delay Faults: These faults model delays along specific paths in the circuit.
4. Bridge Faults: These faults represent a short circuit between two nets or nodes.
5. Cell-Aware Faults: These are specific to certain types of cells and are critical for nanometer-scale
technologies.
Test Coding:
Test coding involves writing test patterns to test the functionality and detect faults in a chip. Various
methods and languages can be used for test coding, such as:
1. ATPG (Automatic Test Pattern Generation): ATPG tools automatically generate test patterns
based on fault models.
2. BIST (Built-In Self-Test): BIST structures are embedded within the chip to facilitate self-testing.
3. Scan Chains: These enable efficient testing by serially scanning in test data and capturing results.
4. Testbenches: Testbenches are used for simulation-based verification, where test stimuli are applied to
the design, and responses are analyzed.
9
5. High-Level Test Languages: Some specialized languages and tools are used for high-level test
descriptions, which can be automatically converted to lower-level test patterns.
In conclusion, designing and testing complex chips, embedded cores, and SoCs require a
thorough understanding of various verification techniques, fault models, and test coding
methods.
As technology continues to advance, the challenges in test and verification continue to evolve,
demanding innovative solutions and methodologies.
*********************************************************************
Explain about the test benches.
Introduction to test benches:
Test benches are an essential part of digital hardware and software development, especially in
the field of electronic design automation (EDA). They play a crucial role in verifying and
validating the functionality of digital circuits, integrated circuits (ICs), and other electronic
systems.
A test bench serves as a virtual environment in which designers can simulate the behavior of
their design, apply test stimuli, and observe the responses to ensure the correctness and
functionality of the design before it is physically implemented or manufactured.
2. Simulation Environment: A test bench is created as a separate entity from the actual design being
tested. It provides an environment that emulates the behavior of the design under test (DUT) and
contains the necessary stimuli to drive inputs and monitor outputs.
3. Simulation Types: Test benches are used in various types of simulations, such as functional
simulation, timing simulation, and power analysis. Each type of simulation focuses on different aspects
of the design and provides valuable insights into its behavior.
4. Test Stimuli: In a test bench, test stimuli are applied to the inputs of the DUT to simulate different
scenarios and conditions. These stimuli can be pre-defined patterns, random data, or specific corner
cases to test the design's robustness.
5. Output Monitoring: The test bench also includes monitors that observe and record the DUT's
outputs during the simulation. This allows designers to compare the expected outputs with the actual
outputs to check for correctness.
6. Debugging and Analysis: Test benches facilitate debugging by providing detailed information about
the DUT's behavior during simulation. Designers can analyze the waveform results to pinpoint errors
and verify that the design meets the required specifications.
7. Languages and Tools: Test benches are typically written using hardware description languages
(HDLs) like Verilog or VHDL. There are also higher-level verification languages, like SystemVerilog,
10
which provide more advanced features for test bench creation. EDA tools such as simulation tools (e.g.,
ModelSim, VCS) and hardware description and verification languages make the process of test bench
creation more efficient and manageable.
8. Coverage Analysis: Test benches are instrumental in evaluating the functional coverage, code
coverage, and other metrics to assess the effectiveness and completeness of the tests.
9. Regression Testing: As designs evolve, test benches can be used for regression testing, ensuring that
any new changes or optimizations do not introduce new errors or regressions in the design.
In summary, test benches are an integral part of the hardware and software development process,
enabling designers to validate and verify digital designs through simulation.
They are crucial for achieving high-quality, bug-free, and robust designs, leading to reduced
development time and costs while ensuring the functionality and reliability of the final product.
**********************************************************
2. Explain the manufacturing test principles in detail. (NOV 2011, NOV 2012, NOV 2013)
Explain the chip level test techniques. (NOV 2007, MAY 2008, NOV 2021)
(a)Fault models
To deal with the existence of good and bad parts, it is necessary to propose a fault model, i.e., a
model of how faults occur and their impact on circuits.
(i) Stuck at faults.
In the Stuck-At model, a faulty gate input is modeled as a stuck at zero (Stuck-At-0, S-A-0) or
stuck at one (Stuck-At-l, S-A-l).
www.EnggTree.com
These faults most frequently occur due to gate oxide shorts (the nMOS gate to GND or the
pMOS gate to VDD) or metal-to-metal shorts.
12
(c) Controllability
The controllability of an internal circuit node within a chip is a measure of the ease of setting the
node to a 1 or 0 state.
This metric is of importance when assessing the degree of difficulty of testing a particular signal
within a circuit.
An easily controllable node would be directly settable via an input pad.
(d) Repeatability
The repeatability of system is the ability to produce the same outputs given the same inputs.
(e) Survivability
The survivability of a system is the ability to continue function after a fault. For example, error-
correcting codes provide survivability in the event of soft errors.
(f) Fault coverage
A measure of goodness of a test program depend the amount of fault coverage by the test
program.
The fault coverage of a set of test vectors is the percentage of the total nodes that can be detected
as faulty when the vectors are applied.
Each circuit node is taken in the sequence and held to S_a_0, and then simulation started. The
chip’s outputs are compared with outputs of good machine.
13
If the outputs of IC are not matched with the outputs of good, and then fault is marked and the
simulation is stopped.
The same procedure is repeated to set the node to logic 1. This method is known as sequential
fault grading.
Fault coverage is defined as ratio of the number of nodes detected as faults and total number of
nodes in the circuit.
(g) Automatic Test Pattern Generation (ATGP)
If want to test the gate which is embedded in large logic circuit, use existing circuit to create a
specific path from the location of gate which is going to be checked finding fault.
This technique is known as path sensitization. This process of creating the path is known as
propagation.
*****************************************************
3. Explain with diagram the design strategies for testing the CMOS devices. (NOV 2008, NOV
2009)
Write briefly about different test strategies of testing digital circuits. (MAY 2009)
Explain any two approaches of DFT (Design for Testability) in brief with example. (MAY 2010,
NOV 2009, MAY 2013)[Apr/May 2022]
Explain the three main approaches commonly used for design for testability (DFT). [May 2021]
4. Describe the adhoc testing to design for testability in detail. (NOV 2011) [Nov/Dec 2022]
A technique classified in this category is the use of the bus in a bus-oriented system for test
purposes.
Each register has been made loadable from the bus and capable of being driven onto the bus. The
internal logic values that exist on a data bus are enabled onto the bus for testing purposes.
The tester can access all the subsystems which are connected by the buses. The tester can
disconnect any functional unit from the bus by setting its output into high impedance state.
Test pattern for each subsystem can be applied separately.
14
Multiplexers can be used to provide alternative signal paths during testing. In CMOS,
transmission gate multiplexers provide low area and delay overhead.
Any design should always have a method of resetting the internal state of the chip within a single
cycle or at most a few cycles.
Apart from making testing easier, this also makes simulation faster as a few cycles are required
to initialize the chip.
www.EnggTree.com
15
16
The Level Sensitive Scan Design (LSSD) methodology developed at IBM uses flip-flops with
two-phase non-overlapping clocks.
During scan mode, a scan clock φs is toggled in place of φ2.
The non-overlapping clocks also prevent hold time problems in normal operation, but increase
the sequencing overhead of the flip-flop.
17
(Sub)-Circuit
Test Controller
A signature analyzer receives successive outputs of a combinational logic block and produces a
syndrome that is a function of these outputs.
The syndrome is reset to 0, and then XORed with the output on each cycle.
The syndrome is swizzled each cycle so that a fault in one bit is unlikely to cancel itself out.
www.EnggTree.com
At the end of a test sequence, the LFSR contains the syndrome that is a function of all previous
outputs.
This can be compared with the correct syndrome to determine whether the circuit is good or bad.
(ii) Build in- Self –Test (BIST) or Built –In Logic Block Observation (BILBO)
The combination of signature analysis and the scan technique creates a structure known as
BIST—for Built-In Self-Test or BILBO—for Built-In Logic Block Observation.
The 3-bit BIST register shown in Figure is a scannable, resettable register that also can serve as a
pattern generator and signature analyzer.
8. Explain the system level test techniques. (NOV 2007, MAY 2008, NOV 2008)
Explain in detail boundary – scan test. (MAY 2008, MAY 2013, NOV 2013, MAY 2014)
System defects occur at the board level, including open or shorted printed circuit board traces
and incomplete solder joints.
At the board level, “bed-of-nails” testers used to test boards.
In this type of a tester, the board-under-test is lowered onto a set of test points (nails) that probe
points of interest on the board.
These can be sensed (the observable points) and driven (the controllable points) to test the
complete board.
At the chassis level, software programs are frequently used to test a complete board set.
System designers agreeing on a unified scan-based methodology called boundary scan for testing
chips at the board (and system) level.
Boundary scan was originally developed by the Joint Test Access Group (JTAG)
Boundary scan has become a popular standard interface for controlling BIST features as well.
The IEEE 1149 boundary scan architecture is shown in Figure.
All of the I/O pins of each IC on the board are connected serially in a standardized scan chain
accessed through the Test Access Port (TAP)
19
So that every pin can be observed and controlled remotely through the scan chain.
At the board level, ICs obeying the standard can be connected in series to form a scan chain
spanning the entire board.
Connections between ICs are tested by scanning values into the outputs of each chip and
checking that those values are received at the inputs of the chips they drive.
Moreover, chips with internal scan chains and BIST can access those features through boundary
scan to provide a unified testing framework.
20
Boundary scan testing typically begins with the SAMPLE/PRELOAD instruction. Then, a data
value is preloaded into the boundary scan registers.
Next, the EXTEST or INTEST instruction is applied to activate the loaded value. Subsequent
data values are shifted into the boundary scan registers and the results of the tests are shifted out.
The TAP controller is initially reset. At this point, the core logic operates normally with an input
pattern of 0000 and an output pattern of 0001. Then the IR is loaded with 101
(SAMPLE/PRELOAD).
The data pattern 0111 is shifted in. The IR is loaded with 1000 (INTEST).
This sends the 0111 pattern to the core logic, producing an output pattern of 0110.
Finally, the data pattern 1111 is shifted in and the old output 0110 is shifted out.
Because the INTEST is still active, the 1111 is applied to the core, producing a new output of
1100.
It provides a uniform interface to single- and multiple-chip testing and circuit-board testing.
The specification requires at least two test-data registers are the boundary scan register and the
bypass register.
The boundary scan register is associated with all the inputs and outputs on the chip so that
boundary scan can observe and control the chip I/Os.
The bypass register is a single flip-flop used to accelerate testing by avoiding shifting data into
the boundary scan registers of idle chips.
When only a single chip on the board is being tested. Internal scan chain, BIST, or configuration
registers can be treated as optional additional data registers controlled by boundary scan.
The TAP Controller:
The TAP controller is a 16-state FSM that proceeds from state to state based on the TCK and
TMS signals.
It provides signals that control the test-data registers and the instruction register. These include
serial shift clocks and update clocks.
The state transition diagram is shown in Figure. The TAP controller is initialized to Test-Logic-
Reset on power-up by TRST* or an internal power-up detection circuit.
It moves from one state to the next on the rising edge of TCK based on the value of TMS.
www.EnggTree.com
Figure: TAP controller state diagram
22
www.EnggTree.com
1. Module Declaration: Begin by declaring the test bench module, including the module name and any
ports that need to be connected to the DUT.
```verilog
module tb_example;
// Declare the DUT inputs and outputs
// (e.g., input ports and output ports)
// ...
endmodule
```
2. Instantiate the Design Under Test (DUT): In the test bench, instantiate the module representing the
DUT. Connect the DUT's input and output ports to the corresponding signals or wires in the test bench.
```verilog
module tb_example; www.EnggTree.com
// Declare the DUT inputs and outputs
// ...
endmodule
```
24
3. Test Stimuli: Within the test bench, apply test stimuli to the DUT's inputs. This can be done using
initial blocks or always blocks.
```verilog
module tb_example;
// Declare the DUT inputs and outputs
// ...
// End the simulation after all test cases have been executed
$finish;
end
endmodule
```
4. Output Monitoring: Use `initial` or `always` blocks to monitor and check the DUT's outputs during
simulation. You can use `$display`, `$monitor`, or assertion-based methods for this purpose.
```verilog
module tb_example;
// Declare the DUT inputs and outputs
// ...
25
endmodule
```
5. Simulating the Test Bench: To simulate the test bench, use a Verilog simulator such as ModelSim,
VCS, or Questa. The simulation will execute the test cases defined in the test bench and display the
results and any assertion failures.
www.EnggTree.com
That's a basic outline of writing a test bench in Verilog HDL. Keep in mind that test benches can
become more complex, depending on the complexity of the DUT and the desired test scenarios.
Advanced test benches may include random stimulus generation, coverage analysis, and other
verification methodologies to thoroughly validate the DUT's functionality.
*******************************************
1. Fault Modeling: The first step in ATPG is to create a fault model that represents the potential defects
or faults in the DUT. Common fault models include stuck-at faults, transition faults, path delay faults,
and bridging faults. Each fault model describes a specific type of fault that can occur in the DUT.
2. Design Representation: The DUT's design is represented at the gate level, typically in the form of a
gate-level netlist. The netlist contains information about the gates, their connections, and the logical
behavior of the design.
3. Test Cube: A test cube represents the inputs and outputs of the DUT that are relevant to testing a
specific fault. It specifies the input patterns needed to activate the fault and the expected output
responses. The ATPG tool generates test patterns based on these test cubes.
26
6. Simulation and Verification: The generated test patterns are then applied to the DUT in a simulation
environment or during manufacturing testing. The DUT's responses are compared with the expected
outputs to detect any faults. If the DUT fails the test, the specific fault(s) that caused the failure can be
identified for further diagnosis and debugging.
ATPG is a powerful technique that significantly improves the efficiency and coverage of semiconductor
testing. It helps ensure the quality and reliability of integrated circuits, enabling the detection of
manufacturing defects and design errors, thereby enhancing the overall product yield and performance.
*******************************************************
www.EnggTree.com
Explain the Process involved in wafer to chip fabrication.
Introduction to wafer to chip fabrication process flow
27
1. Wafer Ingot Growth: The process begins with the growth of a silicon ingot. The silicon ingot is
sliced into thin, circular wafers using a diamond-tipped saw. These wafers serve as the base material for
manufacturing chips.
2. Wafer Cleaning: The wafers undergo rigorous cleaning processes to remove any contaminants or
particles that might have accumulated during handling or previous steps. Cleanliness is crucial to ensure
defect-free manufacturing.
3. Oxidation: The wafers are exposed to high-temperature oxygen or steam to create a thin layer of
silicon dioxide (SiO2) on their surface. This layer serves as an insulating material and also provides a
base for subsequent processes.
4. Photolithography: In this step, a photoresist material is applied to the wafer's surface. Light is then
shone through a photomask that contains the pattern of the desired circuit. The photoresist is exposed to
this patterned light, creating a mask on the wafer. This process defines the circuit pattern for the
subsequent steps.
5. Etching: The exposed parts of the wafer's surface are either removed or modified using chemical or
physical etching processes. This step transfers the pattern from the photomask onto the wafer, defining
the circuit layout.
6. Doping: Dopants (impurity atoms) are selectively introduced into specific areas of the wafer to
modify its electrical properties. This process creates regions with either excess or deficient electrons,
www.EnggTree.com
forming the various components of transistors (source, drain, gate, etc.).
7. Thin Film Deposition: Thin films of various materials, such as metal, polysilicon, or insulators, are
deposited onto the wafer surface using techniques like chemical vapor deposition (CVD) or physical
vapor deposition (PVD). These films serve as conductors or insulators in the circuit.
8. Chemical Mechanical Polishing (CMP): CMP is used to planarize the wafer's surface, making it
smooth and even. This is essential for accurate layering and subsequent processing steps.
9. Annealing: The wafer is heated in a controlled environment to activate dopants, repair crystal
damage, and improve the electrical properties of the fabricated components.
10. Chemical Mechanical Polishing (CMP): CMP is used to planarize the wafer's surface, making it
smooth and even. This is essential for accurate layering and subsequent processing steps.
11. Annealing: The wafer is heated in a controlled environment to activate dopants, repair crystal
damage, and improve the electrical properties of the fabricated components.
12. Testing: Throughout the process, various tests are conducted to ensure the quality of the chips being
manufactured. These tests help identify defects and ensure that the chips meet the required
specifications.
28
13. Packaging: Once all the chips on the wafer are deemed functional, they are separated and assembled
into their respective packages. The packages provide protection and electrical connections to the chips,
enabling them to be mounted on printed circuit boards (PCBs).
14. Final Testing: After packaging, the chips undergo final testing to verify their functionality and
performance. Defective chips are discarded, and only fully functional chips are sent for distribution and
integration into electronic devices.
It's important to note that the above process is a simplified overview, and the actual fabrication
process can be much more complex, involving multiple iterations of the steps to create multiple
layers and intricate circuitry on a single chip.
Semiconductor manufacturing is a continuously evolving field, with advancements in technology
and miniaturization constantly pushing the boundaries of what is possible.
www.EnggTree.com
29
I. Full-Custom ASICs
II. Semi-custom ASICs
a. Standard-Cell–Based ASICs (CBIC)
b. Gate-Array–Based ASICs (MPGA)
Channeled Gate Array
Channel less Gate Array
Structured Gate Array
III. Programmable ASICs
a. Complex Programmable Logic Devices (CPLD)
b. Field-Programmable Gate Arrays (FPGA)
www.EnggTree.com
3. What is meant by Full-Custom design? (May 2009)
30
31
32
33
The I/O Block (IOB) interfaces between the internal logic and the device user I/O pins.
Each IOB includes an input buffer, output driver, output enable selection multiplexer, and
user programmable ground control.
37. What is feed through cells? State their uses. (May 2016)
A Feed through is a connection that needs to cross over a row of standard cells.
Feed through cells needed for vertical routing for routing using the same metal layer(s) as within
cells.
39. What are the types of programmable logic device (Programmable ASIC)?
PLA
PAL
FPGA
40. What is meant by ASIC?
Application Specific Integrated Circuit is an Integrated Circuit (IC) designed to perform a
specific function for a specific application.
41. What is an antifuse? State its merits and demerits. (Nov 2016)
Antifuse is nothing high resistance (>100 MΩ) is changed into low resistance(200-500Ω) by
applying programming voltage.
35
Merit: Antifuses separate interconnect wires on the FPGA chip and the programmer blows
an antifuse to make a permanent connection.
Demerit: Once an antifuse is programmed, the process can’t be reversed.
51. State all the test vectors to test 3 input NAND gate. [May/June-2009]
Three inputs test vector are 000, 001, 010, 011, 100, 101, 110 and 111.
52. What are the test fixtures required to test a chips? [Nov/Dec-2011]
To test a chip, various types of test fixtures may be required. These are
Probe card: It is used to test at the wafer level or unpackaged die level with a chip tester.
www.EnggTree.com
Load board: It is used to test a packaged part with a chip tester.
Printed circuit board (PCB): It is used for bench-level testing (with or without a tester).
PCB with the chip in situ: It is used for demonstrating the system application for which the
chip is used.
53. What is meant by test program?
The tester requires a test program. This program is written in a high-level language that supports
a library of primitives for a particular tester.
Functionality test is to check whether logic block works with correct logic. It leads to
imperfection of logic function. It is done before fabrication process.
37
67. What is meant by silicon debugging principles and name some probes used for it?
Silicon debugging principles are those technique which can directly access the silicon for testing.
LVP-Laser Voltage Probing, PICA-Picasecond Imaging Circuit Analysis.
38
75. List any two faults that occur during manufacturing. [Nov/DEC-2008]
Struck at faults and struck open fault.
81. What are the 3 approaches in design for testability? (or) List out design required for
testing in CMOS chip design. [Apr/May-2008]
Three approaches in design for testability are
Adhoc testing
Scan based testing
BIST- Built In Self Test
84. List the common techniques for ad hoc testing. (NOV 2021)
1. Buddy testing 2. Pair testing 3. Monkey testing
85. What is signature analyzer?
Signature analyzer is a block which observes the output signal.
(Sub)-Circuit
Test Controller
42
www.EnggTree.com
107. What are logic verification principles? [May 2013, Nov 2013]
Verifying the logical principles of the circuit by the following ways test benches &
Harness, regression testing, version control and bug tracking.
43
107. Identify the ways to optimize the manufacturability, to increase yield. [May 2021]
Examine workflow
Invest in employee training.
Modernize your business process.
Invest in smart machining equipment.
Develop realistic expectations.
Stay organized.
Create a culture of collaboration.
Invest in preventative maintenance.
www.EnggTree.com
108. What are the advantages and disadvantages of BIST? [Nov/Dec 2022]
Advantages of implementing BIST include:
1) Lower cost of test, since the need for external electrical testing using an ATE will be
reduced, if not eliminated
2) Better fault coverage, since special test structures can be incorporated onto the chips
3) Shorter test times if the BIST can be designed to test more structures in parallel
4) Easier customer support
5) Capability to perform tests outside the production electrical testing environment. The last
advantage mentioned can actually allow the consumers themselves to test the chips prior to
mounting or even after these are in the application boards.
44
UNIT V
ASIC DESIGN AND TESTING
Question bank
www.EnggTree.com
45